電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>模擬技術(shù)>分享一位大牛人對(duì)模擬電路的深刻理解

分享一位大牛人對(duì)模擬電路的深刻理解

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

牛人講述電源設(shè)計(jì)經(jīng)驗(yàn)

這里給大家分享一些牛人DIY電源設(shè)計(jì)的經(jīng)驗(yàn),希望能對(duì)大家設(shè)計(jì)電源有所幫助。
2016-02-16 11:03:121712

如何從模擬電路菜鳥變大牛 三位前輩如是說(shuō)

剛開始學(xué)習(xí)模擬電路?覺(jué)得學(xué)的云里霧里的?覺(jué)得老師講的不好?覺(jué)得教材爛?好了,別找理由了,學(xué)不好應(yīng)該是沒(méi)找到方法,分享3位前輩的經(jīng)驗(yàn)給你,看看前輩們都是怎么成菜鳥變成大牛的。
2017-02-08 14:59:325965

說(shuō)一說(shuō)對(duì)模擬電路這門課的理解

在電子類專業(yè)中,模擬電路是一門非常重要,并且不少人覺(jué)得很難的一門課。這里說(shuō)一說(shuō)對(duì)模擬電路這門課的理解,希望能對(duì)大家有所幫助。
2022-10-24 09:41:37787

升壓電路Boost,深入實(shí)戰(zhàn)拓?fù)浣Y(jié)構(gòu)、設(shè)計(jì)要點(diǎn)、原理圖與PCB

升壓拓?fù)淙缟蠄D,要想掌握升壓電路,必須深刻理解拓?fù)浣Y(jié)構(gòu),幾乎所有升壓Boost都是基于此拓?fù)浣Y(jié)構(gòu)。
2022-10-27 09:47:387083

對(duì)模電的深刻理解

在電子類專業(yè)中,模擬電路是一門非常重要,并且不少人覺(jué)得很難的一門課。這里說(shuō)一說(shuō)對(duì)模擬電路這門課的理解,希望能對(duì)大家有所幫助。
2023-03-15 09:59:51563

一位大牛是這樣學(xué)習(xí)模擬電路的經(jīng)驗(yàn)大全下載

一位大牛是這樣學(xué)習(xí)模擬電路的經(jīng)驗(yàn)大全下載
2015-01-21 11:12:30

一位牛人對(duì)模擬電路理解

一位牛人對(duì)模擬電路理解
2012-06-24 09:46:46

一位牛人對(duì)模擬電路理解

一位牛人對(duì)模擬電路理解
2012-08-06 13:44:01

一位牛人對(duì)模擬電路理解

本帖最后由 jwq2011 于 2012-3-23 10:15 編輯
2012-03-23 10:13:39

一位牛人對(duì)模電的理解?。?/a>

一位全加器代碼VHDL

用VHDL的人好少,哎……一位全加器的邏輯表達(dá)式是: S=A⊕B⊕Ci Co=AB+ACi+BCi 然后是代碼:[code]USE IEEE.STD_LOGIC_1164.ALL; USE
2014-12-09 22:16:51

一位全加器代碼VHDL

用VHDL的人好少,哎……一位全加器的邏輯表達(dá)式是: S=A⊕B⊕Ci Co=AB+ACi+BCi 然后是代碼:[code]USE IEEE.STD_LOGIC_1164.ALL; USE
2014-12-09 22:17:22

一位品質(zhì)經(jīng)理的總結(jié)

怎么做質(zhì)量管控才能有效,質(zhì)量部門有哪些工作要做?這是一位品質(zhì)經(jīng)理的主要質(zhì)量管控總結(jié),涉及比較全面,供大家參考!
2015-08-27 16:30:57

一位整數(shù)立方運(yùn)算器!大神賜教???!

verilog 語(yǔ)言寫個(gè) 一位整數(shù)立方運(yùn)算器 的程序 !!
2017-06-07 10:41:19

一位高級(jí)汽修技師應(yīng)該具備怎樣的電路知識(shí)

各位大神好,本人小白想成為一位高級(jí)汽修技師,但本人電路知識(shí)竅不通,但深知電路知識(shí)對(duì)以后發(fā)展重要性,本人初中學(xué)歷想請(qǐng)問(wèn)各位大神想實(shí)現(xiàn)自己的目標(biāo)需要電路哪方面知識(shí)以及在哪學(xué)習(xí),本人在重慶現(xiàn)職汽修學(xué)徒,所以沒(méi)有條件去讀職校了,但有想學(xué)望各位指點(diǎn)!萬(wàn)分感謝
2017-02-01 20:08:52

模擬電路牛人尋求

請(qǐng)問(wèn)有考慮車載激光雷達(dá)產(chǎn)品,模擬電路開發(fā)的 牛人嗎? 急需。。。
2022-05-10 15:54:19

模擬電路是怎么理解的?

電子類專業(yè)中,模擬電路門非常重要,并且不少人覺(jué)得很難的門課。這里我來(lái)說(shuō)說(shuō)我對(duì)模擬電路這門課的理解,希望能對(duì)大家有所幫助。工程思想如果說(shuō)到考試成績(jī),我的考試成績(jī)般,并非什么高分;但如果說(shuō)
2019-09-11 09:00:00

模擬IC設(shè)計(jì)(DC-DC 鋰電 充電)-上海

資深模擬IC設(shè)計(jì)工程師(DC-DC 鋰電 充電)-上海崗位要求:1、電子工程專業(yè)的本科或者碩士,2、具有5-8年相關(guān)工作經(jīng)驗(yàn);3、具有PowerIC量產(chǎn)經(jīng)驗(yàn),有鋰電池沖放電及保護(hù)有深刻理解及量產(chǎn)經(jīng)驗(yàn)
2014-03-28 18:07:16

模擬集成電路設(shè)計(jì)的九個(gè)階段,你到幾段了?

提供技術(shù)支持,不懂的也可以問(wèn)問(wèn)大牛啊 要點(diǎn):三大前輩教你怎樣學(xué)好模擬電路從對(duì)待基礎(chǔ)知識(shí)的態(tài)度來(lái)談模擬電子的學(xué)習(xí)從拆解日本儀器談模擬設(shè)計(jì)思路做了十年模擬應(yīng)用設(shè)計(jì)的感慨關(guān)于模擬電路理解國(guó)內(nèi)搞模擬
2019-03-08 17:45:51

深刻理解傅里葉變

本帖最后由 mr.pengyongche 于 2013-4-30 03:17 編輯 [url=www.6668.cc]
2012-08-20 12:34:18

牛人對(duì)模擬電路理解

本帖最后由 eehome 于 2013-1-5 10:04 編輯 牛人對(duì)模擬電路理解
2012-08-15 21:03:38

牛人對(duì)模擬電路理解

60000+連接器型號(hào)+9.5折~現(xiàn)貨任采!
2011-07-13 16:04:24

牛人對(duì)模擬電路理解總結(jié)【供自己參考】

雖然還是學(xué)生,很多看不懂,但是還是寫出來(lái)提醒自己吧 兩個(gè)重點(diǎn): 第、運(yùn)放是基礎(chǔ),運(yùn)放設(shè)計(jì)弄好了,其他的也就容易了。第二、放大器有兩個(gè)難點(diǎn),個(gè)是頻率響應(yīng),個(gè)是反饋。 最終總結(jié):凡事情,基礎(chǔ)很重要,基礎(chǔ)扎實(shí)學(xué)其他的很容易切入, 并且越學(xué)越快。
2013-03-27 23:08:27

理解拓?fù)浣Y(jié)構(gòu)

從電源、單片機(jī)、晶體管、驅(qū)動(dòng)電路、顯示電路、有線通訊、無(wú)線通信、傳感器、原理圖設(shè)計(jì)、PCB設(shè)計(jì)、軟件設(shè)計(jì)、上位機(jī)等,給新手綜合學(xué)習(xí)的平臺(tái),給老司機(jī)交流的平臺(tái)。所有文章來(lái)源于項(xiàng)目實(shí)戰(zhàn),屬于原創(chuàng)。、拓?fù)浣Y(jié)構(gòu)1、降壓拓?fù)淙缟蠄D,要想掌握降壓電路,必須深刻理解拓?fù)浣Y(jié)構(gòu),幾乎所有降壓...
2021-11-17 06:32:03

電路基礎(chǔ)課程教學(xué)大綱

及其物理意義。(4)掌握單參數(shù)的電阻元件、電感元件和電容元件在正弦交流電路中的電壓、電流關(guān)系,理解即時(shí)元件、動(dòng)態(tài)元件的區(qū)別和由來(lái);熟悉單參數(shù)元件上的功率關(guān)系,深刻理解有功功率、無(wú)功功率的概念
2008-09-22 09:56:52

電路模型和電路定律學(xué)習(xí)資料PPT

電路模型。通過(guò)本課程的學(xué)習(xí),應(yīng)掌握理想元件、電路模型、深刻理解電壓、電流、功率等物理量的意義和各量之間的關(guān)系,牢固掌握和熟練應(yīng)用元件(電阻、電感、電容、電源、受控源等)的伏安特性關(guān)系和電路的基本定理
2009-10-09 15:27:31

MOSFET參數(shù)理解及測(cè)試項(xiàng)目方法

` 本帖最后由 qw715615362 于 2012-9-12 11:35 編輯 是你深刻理解MOSFET的特性及各種參數(shù)`
2012-09-12 11:32:13

ad轉(zhuǎn)換只有第一位

`AD7688通過(guò)SPI接口,用dma將采集結(jié)果傳給stm32f407,現(xiàn)在spi的時(shí)鐘信號(hào)和nss都是我所要的方波,keil里看spi和dma的寄存器也在正常工作,但是用示波器去看ad的輸出管腳波形,發(fā)現(xiàn)只有第一位,后續(xù)15沒(méi)有完成轉(zhuǎn)換,大家?guī)兔纯磫?wèn)題可能出在哪`
2017-12-05 19:40:52

labview如何設(shè)置顯示結(jié)果只保留一位小數(shù)?

想請(qǐng)教下,labview如何設(shè)置顯示結(jié)果只保留一位小數(shù)?我采用的方法是:用數(shù)據(jù)×10,然后取整,再÷10,但是很多結(jié)果為整數(shù)(比如392.0×10取整=3920,再÷10=392),如何使其顯示為392.0???小數(shù)位是0,怎么樣才能也讓其顯示出來(lái)?
2018-12-18 17:04:20

norflash地址為什么要右移一位?

norflash和2440的地址線相互錯(cuò)開的原因,發(fā)送地址的需要把地址左移一位。在擦除扇區(qū)時(shí),發(fā)送扇區(qū)地址時(shí)為什么又要把地址往右移一位?同樣是往某個(gè)地址寫指令,前面的發(fā)送解鎖命令時(shí),地址都沒(méi)有右移,在發(fā)送扇區(qū)地址時(shí)就要右移一位輸出的錯(cuò)誤信息:
2019-03-22 07:24:11

三極管共發(fā)射極放大電路仿真圖

,在深刻理解電路原理的基礎(chǔ)之上再進(jìn)行實(shí)物實(shí)驗(yàn),則可達(dá)到有的放矢事半功倍的效果?! ∫韵率牵廴龢O管共發(fā)射極放大電路]正確的仿真圖紙。鏈接: https://pan.baidu.com/s/1vldSqmS0uCsZqRiNWm2wog 提取碼: 9gp4
2020-03-15 14:39:19

為什么我的數(shù)碼管最后一位直閃?

求各位大神,幫我看下,為什么我的數(shù)碼管最后一位直閃,前面一位直是8,按鍵按下去沒(méi)有反應(yīng),圖片有點(diǎn)不清楚,此圖為18b20測(cè)溫的,程序在試驗(yàn)箱上驗(yàn)證好使,請(qǐng)大神看下是不是我連錯(cuò)了,初學(xué)者有點(diǎn)不懂了。謝謝!
2015-06-11 13:41:45

從Io口一位一位讀數(shù)據(jù)放在個(gè)字節(jié)中,第一位數(shù)據(jù)放在這個(gè)字節(jié)的最高位還是最低位?

比如,char a;a=DSIO;每次從DSIO接受一位,是放在a 的最低位還是最高位?
2017-02-28 20:05:44

分享些嵌入式開發(fā)中常用的好評(píng)工具

正文大家好,我是bug菌!今天跟大家分享些嵌入式開發(fā)中常用的好評(píng)工具,也是我手頭上直在使用的些工具,所謂"欲善其功先利其器",bug菌比較晚才深刻理解這句話。曾記得在...
2021-11-08 08:53:51

單片機(jī)74HC165移位是將所有的8數(shù)據(jù)都右移一位,還是只有最高位右移一位?

74HC165移位是將所有的8數(shù)據(jù)都右移一位,還是只有最高位右移一位?
2018-06-28 11:25:06

基于拓?fù)浣Y(jié)構(gòu)的升壓Boost

的平臺(tái),給老司機(jī)交流的平臺(tái)。所有文章來(lái)源于項(xiàng)目實(shí)戰(zhàn),屬于原創(chuàng)。、拓?fù)浣Y(jié)構(gòu)1、升壓拓?fù)淙缟蠄D,要想掌握升壓電路,必須深刻理解拓?fù)浣Y(jié)構(gòu),幾乎所有升壓Boost都是基于此拓?fù)浣Y(jié)構(gòu);2、環(huán)路,開關(guān)閉合...
2021-11-11 09:21:55

基本放大電路難點(diǎn)重點(diǎn)分析

本帖最后由 gk320830 于 2015-3-7 17:42 編輯 這章我們主要要深刻理解共射極放大電路的組成原則、工作原理、分析方法、性能指標(biāo)等基本概念。理解穩(wěn)定靜態(tài)工作點(diǎn)的必要。深刻理解
2012-11-15 16:08:01

如何利用C語(yǔ)言的域操作去實(shí)現(xiàn)對(duì)寄存器每一位的控制

在單片機(jī)的編程中,會(huì)使用到些IC里面的寄存器,而有些寄存器并不是每一位都是有效的,例如:這里的1-3則是保留的,不可以***作的。所以在對(duì)些寄存器進(jìn)行操作時(shí),可以利用C語(yǔ)言的域操作去實(shí)現(xiàn)
2022-02-25 06:41:41

如何利用單片機(jī)的官方例程

現(xiàn)在在學(xué)430單片機(jī),從TI官網(wǎng)上下了些例程,到底該如何讓合理利用那,是直接粘貼復(fù)制運(yùn)行下還是要有自己的理解或者自己編下那,如何深刻理解這些程序那,求高手指教????謝謝了
2013-04-26 21:02:19

如何去實(shí)現(xiàn)種基于STM32的麥克納姆輪小車設(shè)計(jì)

本項(xiàng)目用STM32F407,麥克納姆輪小車,八路紅外尋跡模塊,實(shí)現(xiàn)小車的尋跡功能.本文著重解釋了PID控制器,并給出仿真結(jié)果,意在理論中結(jié)合實(shí)踐中更加深刻理解過(guò)程控制的原理.麥克納姆輪麥克納姆輪,是瑞典麥克納姆公司設(shè)計(jì)。在中心...
2022-01-14 07:38:51

如何學(xué)習(xí)設(shè)計(jì)FPGA?

先結(jié)合《數(shù)字電路基礎(chǔ)》系統(tǒng)學(xué)習(xí)各種74系列邏輯電路深刻理解邏輯功能,對(duì)于學(xué)習(xí)HDL語(yǔ)言大有裨益,往往會(huì)起到事半功倍的效果。下面就以一位十多年資深工程師的切身體會(huì),告訴大家,如何才能順利學(xué)習(xí)設(shè)計(jì)FPGA?
2019-08-06 06:04:50

怎么理解一位停止?

USART1->CR1|=0X200C; //1停止,無(wú)校驗(yàn)-------------------------------------------------------------------------------怎么理解一位停止?寄存器上看不出啊
2019-08-29 00:16:54

我把個(gè)四的信號(hào)放入IOB 為什么只有其中一位放進(jìn)了 其...

我把個(gè)四的信號(hào)放入IOB 為什么只有其中一位放進(jìn)了 其他三個(gè)沒(méi)進(jìn)請(qǐng)問(wèn)大神這是什么原因
2014-10-10 11:22:32

手里有一位大神設(shè)計(jì)的開關(guān)電源電路(輸出HV可調(diào)!)

這位前輩設(shè)計(jì)的電源電路如下圖,他是采用LTC系列芯片,隔離式反激電路的拓?fù)洌榭葱酒膁atasheet,根據(jù)引腳定義,按照自己的需求,確定各個(gè)元器件的參數(shù)。 按照數(shù)據(jù)手冊(cè)上的說(shuō)明進(jìn)行,
2015-06-10 17:49:45

一位protel99se 教師

一位protel99se 教師
2015-12-15 20:55:29

一位音箱結(jié)構(gòu)設(shè)計(jì)師

有時(shí)間的朋友可以聯(lián)絡(luò)下,我需要找一位音箱結(jié)構(gòu)設(shè)計(jì)師,謝謝QQ 750672359
2013-09-26 13:31:09

招聘毫米波技術(shù)應(yīng)用支持一位

本帖最后由 SMART2016 于 2014-11-18 17:45 編輯 招聘毫米波技術(shù)應(yīng)用支持一位QQ 357693872
2014-11-18 17:18:16

數(shù)碼管動(dòng)態(tài)顯示如何讓某一位數(shù)值閃爍

以51單片機(jī)為例,數(shù)碼管動(dòng)態(tài)顯示如何讓某一位數(shù)值閃爍,考慮到有新人不定能熟練運(yùn)用定時(shí)器和中斷,這里提供兩種簡(jiǎn)單的思路。 本次示例中讓數(shù)碼管某一位亮起的函數(shù)為 XianShi(數(shù)碼管位置,顯示的字符
2021-12-06 08:22:14

時(shí)鐘信號(hào)只編碼一位

您好Xilinx社區(qū),我喜歡在時(shí)鐘ADC和FPGA Spartan 6的通用時(shí)鐘之間以90°的方式提供相位信號(hào)。之前,我的信號(hào)使用not功能進(jìn)行180°定相。我的時(shí)鐘信號(hào)只編碼一位。請(qǐng)問(wèn)你能幫幫我
2019-03-06 12:13:51

有償找一位精通CPLD和DSP的高手

有償找一位精通CPLD和DSP的高手,需要教的內(nèi)容為:在CPLD中用Verilog語(yǔ)言編寫增量式編碼器信號(hào)的鑒相細(xì)分、計(jì)數(shù)功能,以及CPLD與DSP之間進(jìn)行數(shù)據(jù)傳輸通信、DSP中編寫相關(guān)算法以及
2013-07-26 20:49:22

一位DSP工程師

想尋找一位有獨(dú)立開發(fā)經(jīng)驗(yàn)的DSP工程師。能幫助我基于 STM32開發(fā) 款 BLDC控制器。基本硬件框架我也整理出來(lái)了?;究刂栖浖蚣芪乙舱沓鰜?lái)了。真心尋找一位最好有經(jīng)驗(yàn)的DSP軟件工程師,幫我
2020-02-23 19:08:26

一位pic24h 大神

本人剛剛接觸匯編和單片機(jī),現(xiàn)在要做個(gè)小項(xiàng)目,但是有很多問(wèn)題,希望求一位大神指導(dǎo)指導(dǎo),可以付費(fèi)。謝謝 補(bǔ)充內(nèi)容 (2016-12-1 15:54): 關(guān)于pic24h的
2016-12-01 14:34:18

深圳公司招聘DSP高手1名

因公司(深圳南山科技園)發(fā)展需要,招聘一位比較厲害的DSP高手,相關(guān)要求如下:1、碩士及以上學(xué)歷; 2、熟悉DSP系統(tǒng)架構(gòu)及編程原理; 3、精通匯編和C語(yǔ)言編程; 4、熟悉嵌入式系統(tǒng)調(diào)試方法; 5
2016-05-04 17:40:52

理科生想看嗎?一位牛人寫的開關(guān)電源“詩(shī)歌”!

今天在網(wǎng)上無(wú)意發(fā)現(xiàn)幾個(gè)牛人寫的關(guān)于開關(guān)電源技術(shù)的詩(shī)歌,挺有意思,轉(zhuǎn)載過(guò)來(lái)獻(xiàn)給大家。詩(shī)歌內(nèi)容在技術(shù)角度來(lái)講是否正確未經(jīng)考證,請(qǐng)不要太較真權(quán)當(dāng)娛樂(lè)!反激電源篇確定D來(lái)反射壓紋波先定電流感AP選擇細(xì)計(jì)劃
2016-01-29 14:03:42

絕緣電阻的測(cè)試方法

有三種不同的測(cè)試,可以與執(zhí)行兆歐表。對(duì)這些常見(jiàn)測(cè)試方法的深刻理解是獲得確定電絕緣條件和質(zhì)量的重要工具。
2018-10-12 10:01:49

藍(lán)牙串口程序發(fā)送數(shù)據(jù)只能識(shí)別一位

原子哥剛剛寫了個(gè)藍(lán)牙串口的程序, 藍(lán)牙可以很好的接收到數(shù)據(jù),但是用藍(lán)牙助手發(fā)送數(shù)據(jù)的時(shí)候只能識(shí)別一位,而且是混亂的數(shù)據(jù)。接受數(shù)據(jù)的程序用的是原子原來(lái)的。要怎么該???求大神解答。
2019-02-26 05:30:21

解釋VI寫入一位數(shù)字線

兄長(zhǎng)幫忙解釋下附件寫入一位數(shù)字線,怎么配置數(shù)字線,如何讀取數(shù)字線電壓?附件是LAB VIEW 大學(xué)中第11章節(jié)的內(nèi)容,沒(méi)看懂??請(qǐng)知道的兄長(zhǎng)幫忙解釋下VI 用途
2013-03-29 23:17:56

誠(chéng)聘模擬IC設(shè)計(jì)工程師

BCD工藝電源IC經(jīng)驗(yàn)者優(yōu)先5.具備規(guī)劃layout floor plan的能力;6.對(duì)半導(dǎo)體器件以及工藝流程有比較深入的了解,熟悉并深刻理解CMOS/BCD工藝;7.熟練使用hspice,spectre
2017-06-16 10:54:20

請(qǐng)一位LabVIEW外包工程師

進(jìn)行新功能的升級(jí),希望找一位能夠做相關(guān)項(xiàng)目的人來(lái)外包此部分工作內(nèi)容。 技術(shù)需求: 本系統(tǒng)軟件主要用到的技術(shù)是儀器控制、Excel、word文件讀寫,涉及屬性節(jié)點(diǎn)、引用類的知識(shí),希望請(qǐng)一位有完成NI
2020-05-12 09:31:43

請(qǐng)教大牛模擬設(shè)計(jì)有什么要注意的嗎?

晶體管數(shù)量的倍增同樣增加了設(shè)計(jì)的復(fù)雜性,要求過(guò)去常用來(lái)實(shí)現(xiàn)這些復(fù)雜設(shè)計(jì)的方法和工具都需加以改變;請(qǐng)教大牛模擬設(shè)計(jì)有什么要注意的嗎?
2021-04-07 06:19:19

請(qǐng)問(wèn)4的數(shù)碼管不能單獨(dú)的某一位的滾動(dòng)顯示數(shù)字是為什么?如何解決?

想實(shí)現(xiàn)4數(shù)碼管最左邊的一位滾動(dòng)顯示0-9:源程序如下 :#include #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66
2018-07-04 09:19:55

請(qǐng)問(wèn)Quartus2中的用一位全加器來(lái)實(shí)現(xiàn)四全加器

一位全加器是我自己封裝的,在四全加器中調(diào)用,在編譯過(guò)程總是出錯(cuò)
2019-03-06 15:48:13

請(qǐng)問(wèn)是否可以用GIOA口中某一位代替GIOB的某一位?

本帖最后由 只耳朵怪 于 2018-5-22 10:41 編輯 問(wèn)是否可以用GIOA口中某一位代替GIOB的某一位,
2018-05-22 04:12:01

這是哪個(gè)寄存器的哪一位?

我記得大二的時(shí)候,我們單片機(jī)老師講過(guò)個(gè)知識(shí)點(diǎn),大概意思就是51單片機(jī)有個(gè)寄存器,當(dāng)他的某一位置“1“的時(shí)候,單片機(jī)就只能下載次程序,有些商家為了不讓客戶修改他們單片機(jī)里的程序,就采取的這種措施,我想問(wèn),這是哪個(gè)寄存器的哪一位來(lái)著?
2019-08-23 04:35:24

深刻理解傅里葉變換

傅立葉是一位法國(guó)數(shù)學(xué)家和物理學(xué)家的名字,英語(yǔ)原名是Jean Baptiste Joseph Fourier(1768-1830), Fourier對(duì)熱傳遞很感興趣,于1807年在法國(guó)科學(xué)學(xué)會(huì)上發(fā)表了一篇論文,運(yùn)用正弦曲線來(lái)描述溫度分
2011-12-13 17:39:12298

深刻理解EMC,PCB被動(dòng)組件隱藏和特性分析

  傳統(tǒng)上,EMC一直被視為「黑色魔術(shù)(black magic)」。其實(shí),EMC是可以藉由數(shù)學(xué)公式來(lái)理解的。不過(guò),縱使有數(shù)學(xué)分析方法可以利用,但那些數(shù)學(xué)方程式對(duì)實(shí)際的EMC電路設(shè)計(jì)而言,仍然
2012-05-18 14:11:27889

焊盤和過(guò)孔的深刻理解

2013-10-12 11:38:230

深刻理解傅里葉變換

2014-05-03 01:59:100

一位牛人對(duì)模擬電路理解

模擬電路相關(guān)知識(shí),可能會(huì)有幫助吧,呵呵,技術(shù)要過(guò)關(guān),基礎(chǔ)很關(guān)鍵
2015-10-28 11:35:09241

傅里葉變換本質(zhì)及其公式解析

傅里葉變換的深刻理解結(jié)合書本,會(huì)有更好的效果
2015-11-26 11:29:120

Real_Analog_Solutions_for_Digita_Designers

Real Analog Solutions for Digital Designers嵌入式系統(tǒng)的模擬電路設(shè)計(jì),一位技術(shù)大牛寫的,很實(shí)用
2015-12-29 10:53:040

模擬電路動(dòng)畫講解

非常好的電子教學(xué),用于學(xué)習(xí)電路,理解的更深刻透徹。
2016-05-06 17:25:210

紅外遙控器編碼大全

幫助大家更好的理解單片機(jī)的紅外遙控器編程,對(duì)紅外編程更加深刻理解
2016-07-13 16:12:575

深刻理解“上拉電阻”

三極管電阻電路原理圖電子技術(shù)
學(xué)習(xí)電子知識(shí)發(fā)布于 2023-08-14 19:55:02

關(guān)于模擬電路理解

關(guān)于模擬電路理解,感興趣的小伙伴們可以瞧一瞧。
2016-09-18 17:15:050

模電牛人的修煉心得

模擬電子的相關(guān)知識(shí)學(xué)習(xí)教材資料——模電牛人的修煉心得
2016-09-27 15:19:030

深刻理解機(jī)器人TCP

2017-06-21 16:00 發(fā)布于 技術(shù)干貨轉(zhuǎn)自:指南車機(jī)器人學(xué)院工業(yè)機(jī)器人使用的途徑就是要裝上工具(TOOL)來(lái)操作對(duì)象,那么如何描述工具在空間的位姿呢,顯然,方法就是在工具上綁定(定義)一個(gè)坐標(biāo)系即工具坐標(biāo)系TCS ,那么這個(gè)TOOL坐標(biāo)系的原點(diǎn)就是所謂的 TCP點(diǎn)工具中心點(diǎn)。在機(jī)器人軌跡編程時(shí),就是將工具在另外定義的工作坐標(biāo)系中的若干位置X/Y/Z和姿態(tài)Rx/Ry/Rz記錄在程序中。當(dāng)程序執(zhí)行時(shí),機(jī)器人就會(huì)把TCP點(diǎn)移動(dòng)到這些編程的位置。 TCP類型的有:常
2017-09-29 16:48:393

想要駕馭Linux驅(qū)動(dòng)開發(fā),必須深刻理解Linux總線設(shè)備驅(qū)動(dòng)框架

想要駕馭Linux驅(qū)動(dòng)開發(fā),必須深刻理解Linux總線設(shè)備驅(qū)動(dòng)框架。之所以會(huì)形成這樣的框架,主要是為了代碼的可重用性,因?yàn)轵?qū)動(dòng)和設(shè)備的關(guān)系是一對(duì)多的。正如主設(shè)備號(hào)和次設(shè)備號(hào)之分,主設(shè)備號(hào)表示驅(qū)動(dòng)程序,次設(shè)備號(hào)表示具體的設(shè)備。   
2018-03-22 11:08:5710363

模擬電路的8大要求、4大組成

理解歐姆定律、電阻和電導(dǎo)的關(guān)系;理解焦耳--楞次定律、電功率的概念;了解電氣設(shè)備額定值的定義及其電路在不同工作狀態(tài)下的特點(diǎn);熟練掌握基爾霍夫定律;熟練掌握電阻串、并聯(lián)及分壓、分流的運(yùn)算技能;深刻理解電路中電位的概念并能熟練計(jì)算電路中各點(diǎn)的電位。
2018-04-17 14:41:002849

聯(lián)想個(gè)人云存儲(chǔ),開啟數(shù)據(jù)存儲(chǔ)的守衛(wèi)之旅

我們都知道當(dāng)下數(shù)據(jù)的價(jià)值與重要性,但如果沒(méi)有體驗(yàn)過(guò)數(shù)據(jù)丟失帶來(lái)的不便與麻煩,也很難有深刻理解
2019-11-29 10:53:12802

三大前輩教你怎么學(xué)好模擬電路

來(lái)源:豆瓣 剛開始學(xué)習(xí)模擬電路?覺(jué)得學(xué)的云里霧里的?覺(jué)得老師講的不好?覺(jué)得教材爛?好了,別找理由了,學(xué)不好應(yīng)該是沒(méi)找到方法,分享3位前輩的經(jīng)驗(yàn)給你,看看前輩們都是怎么成菜鳥變成大牛的。 第一位,資深
2020-10-11 23:57:53369

深刻理解PLC的掃描過(guò)程和執(zhí)行原理

學(xué)習(xí)PLC必須要深刻理解PLC的掃描過(guò)程和執(zhí)行原理,才能可靠無(wú)誤的編寫程序。通俗的講PLC程序是從上往下,從左往右順序循環(huán)掃描執(zhí)行,它需要三個(gè)過(guò)程才真正輸出實(shí)現(xiàn)外部動(dòng)作。 第一步,先把外接的開關(guān)信號(hào)
2021-04-08 17:20:057494

深刻理解提升企業(yè)技術(shù)創(chuàng)新能力的重大意義

》)提出要提升企業(yè)技術(shù)創(chuàng)新能力,并對(duì)企業(yè)技術(shù)創(chuàng)新能力建設(shè)提出了明確的要求,指明了企業(yè)技術(shù)創(chuàng)新能力建設(shè)的重點(diǎn)和方向,意義十分重大。 一、深刻理解提升企業(yè)技術(shù)創(chuàng)新能力的重大意義 (一)提升企業(yè)技術(shù)創(chuàng)新能力是堅(jiān)持走中
2021-01-15 11:08:3912669

深刻理解Python中的元類(metaclass)

深刻理解Python中的元類(metaclass)(大工20春電源技術(shù)在線作業(yè)2)-該文檔為深刻理解Python中的元類(metaclass)講解文檔,是一份不錯(cuò)的參考資料,感興趣的可以下載看看,,,,,,,,,,,
2021-09-24 16:12:143

深刻理解GPIO(上拉輸入、下拉輸入、模擬輸入、浮空輸入,開漏輸出,推挽輸出的區(qū)別,以STM32為例)

轉(zhuǎn)發(fā)博客地址,寫的不錯(cuò)。前言學(xué)習(xí)了這么久的單片機(jī),說(shuō)來(lái)羞愧,直到寫這篇文章之前,我都沒(méi)有仔細(xì)去理解GPIO的八種使用模式,之前只是傻傻的用著,直到把模電,數(shù)電學(xué)完,到今天重新回顧了一遍這一個(gè)知識(shí)
2021-11-30 14:36:0910

深刻理解GPIO(上拉輸入、下拉輸入、模擬輸入、浮空輸入,開漏輸出,推挽輸出的區(qū)別,以STM32為例)

轉(zhuǎn)發(fā)博客地址,寫的不錯(cuò)。前言學(xué)習(xí)了這么久的單片機(jī),說(shuō)來(lái)羞愧,直到寫這篇文章之前,我都沒(méi)有仔細(xì)去理解GPIO的八種使用模式,之前只是傻傻的用著,直到把模電,數(shù)電學(xué)完,到今天重新回顧了一遍這一個(gè)知識(shí)
2021-11-30 14:51:047

模擬電子電路學(xué)習(xí)教程

主要是針對(duì)模擬電路課本知識(shí)的拓展和總結(jié),更好的理解模擬電路知識(shí)
2021-12-09 16:59:4821

已全部加載完成