電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>PCB設(shè)計(jì)>Allegro>中芯國際采用Cadence數(shù)字流程 提升40納米芯片設(shè)計(jì)能力

中芯國際采用Cadence數(shù)字流程 提升40納米芯片設(shè)計(jì)能力

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

Cadence首個DDR4 Design IP解決方案在28納米芯片上得到驗(yàn)證

Cadence宣布業(yè)內(nèi)首個DDR4 Design IP解決方案在28納米芯片上得到驗(yàn)證
2012-09-10 09:53:241403

Cadence設(shè)計(jì)工具通過臺積電16nm FinFET制程認(rèn)證

Cadence系統(tǒng)芯片開發(fā)工具已經(jīng)通過臺積電(TSMC) 16納米 FinFET制程的設(shè)計(jì)參考手冊第0.1版與 SPICE 模型工具認(rèn)證,客戶現(xiàn)在可以享用Cadence益華電腦流程為先進(jìn)制程所提供的速度、功耗與面積優(yōu)勢。
2013-06-06 09:26:451236

中芯國際推出低功耗高端工藝節(jié)點(diǎn)IC設(shè)計(jì)參考流程

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)今天宣布,全球領(lǐng)先的晶圓廠之一中國中芯國際[0.40 2.56%]集成電路制造有限公司(SMIC)推出一款采用Cadence Encounter數(shù)字技術(shù)和SMIC
2012-04-11 09:44:30825

1.大四就業(yè)困惑2.電路設(shè)計(jì)能力如何提升

么?剛開學(xué),本人想提升電路設(shè)計(jì)方面的能力,現(xiàn)在電路圖很多都看不懂,看懂了又不知其實(shí)際應(yīng)用,求大神給我些學(xué)習(xí)者方面的書,同學(xué)說從電源入手,實(shí)踐過程才能了解這些,求大神解惑?
2014-09-02 10:11:31

Cadence Allegro平臺先進(jìn)的約束驅(qū)動PCB流程和布線能力

級設(shè)計(jì)領(lǐng)域的設(shè)計(jì)團(tuán)隊(duì)提供新技術(shù)和增強(qiáng)以提升易用性、生產(chǎn)率和協(xié)作能力,從而為PCB設(shè)計(jì)工程師樹立了全新典范?! 」こ虉F(tuán)隊(duì)在設(shè)計(jì)和管理當(dāng)今復(fù)雜的電子設(shè)計(jì)全系統(tǒng)互連時,面臨前所未有的挑戰(zhàn)。隨著PCB平均面積
2018-11-23 17:02:55

Cadence仿真流程

Cadence仿真流程Cadence仿真流程[/hide][此貼子已經(jīng)被作者于2009-8-16 13:47:51編輯過]
2009-08-16 13:47:03

Cadence發(fā)布推動SiP IC設(shè)計(jì)主流化的EDA產(chǎn)品

:“我們選擇Cadence作為我們RFSiP技術(shù)的合作伙伴,因?yàn)?b class="flag-6" style="color: red">Cadence有相應(yīng)的技術(shù)和能力,能夠和我們共同制定一套在FREESCALE能被廣泛采用的解決方案,從而顯著提升我們的RFSiP技術(shù)
2008-06-27 10:24:12

Cadence新Allegro平臺為PCB設(shè)計(jì)工程師樹立全新典范

,“我們?yōu)榭蛻糸_發(fā)并提供創(chuàng)新的能力,顯見我們對PCB市場的承諾?!?下一代PCB設(shè)計(jì)流程   最新發(fā)布的Cadence Allegro平臺,推出了層次布線規(guī)劃,和全局布線等新技術(shù),大大提升了基于規(guī)則驅(qū)動
2018-08-28 15:28:45

Cadence新的Allegro平臺變革下一代PCB設(shè)計(jì)生產(chǎn)力

  最新發(fā)布的Cadence Allegro平臺,推出了層次布線規(guī)劃,和全局布線等新技術(shù),大大提升了基于規(guī)則驅(qū)動的先進(jìn)設(shè)計(jì)能力。該平臺還通過新的使用模式和增強(qiáng)的易用性提供了更好的可用性
2008-06-19 09:36:24

數(shù)字芯片設(shè)計(jì)流程

數(shù)字芯片設(shè)計(jì)流程:功能驗(yàn)證之前與工藝庫沒多大聯(lián)系,驗(yàn)證芯片設(shè)計(jì)的功能是否正確,針對抽象的代碼進(jìn)行功能驗(yàn)證理想值。一致性驗(yàn)證確保生成的網(wǎng)表和代碼設(shè)計(jì)功能一致;DFT之后是數(shù)字后端。靜態(tài)時序分析,從邏輯
2021-11-10 06:14:28

數(shù)字芯片設(shè)計(jì)流程

方法得到的。一種是用virtuoso等版圖編輯工具手工繪制。這在模擬設(shè)計(jì)較為普遍。另一種是用Cadence的SE等自動布局布線工具(APR)由網(wǎng)表文件自動產(chǎn)生。芯片失效分析實(shí)驗(yàn)室介紹,能夠依據(jù)國際、國內(nèi)
2020-02-12 16:09:48

納米技術(shù)在生活的應(yīng)用

提到納米技術(shù),人們可能會覺得離自己好遠(yuǎn)。其實(shí)納米材料在幾個世紀(jì)前,就已經(jīng)在陶瓷釉和有色窗玻璃染色劑中使用。1990年代末以來,納米技術(shù)越來越多的投入到應(yīng)用?,F(xiàn)在,全球各地的科學(xué)家和工程師都在對這個
2021-08-31 08:13:56

納米防水防潮技術(shù)的作業(yè)流程

、東南亞產(chǎn)品,衣鞋等。注意:1.網(wǎng)上很多自己噴上去的,還很好賣,這些附著力很差的,可以說是一次性的,上面有灰塵、水分。鞋子有納米防水鍍膜始需要可靠性測試的。某國際品牌已經(jīng)在做測試2.街頭的那個納米防水技術(shù),還現(xiàn)場演示。實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)。忽悠老板姓。
2018-10-09 09:54:28

GF退出7納米大戰(zhàn) 三國鼎立下中國路在何方

`7納米芯片一直被視為芯片業(yè)“皇冠上的珍珠”,令全球芯片企業(yè)趨之若鶩。在大家熱火朝天地競相布局7納米工藝時,全球第二大的芯片大廠GlobalFoundries(格羅方德,格,以下簡稱GF)突然宣布
2018-09-05 14:38:53

OrCAD Capture Marketplace增強(qiáng)PCB設(shè)計(jì)能力

Marketplace內(nèi)部,用戶可以訪問網(wǎng)絡(luò)商店,在那里可以發(fā)現(xiàn)并下載來自Cadence及其渠道合作伙伴的程序,通過最新的、改進(jìn)的功能與特性強(qiáng)化對其設(shè)計(jì)工藝與流程的控制?!  ?b class="flag-6" style="color: red">Cadence是首家將PCB設(shè)計(jì)生態(tài)體系
2020-07-06 17:49:34

PCB設(shè)計(jì)秘訣1——短期提升你的設(shè)計(jì)能力

共模濾波電路,電容和電感上的引線要盡量加寬。3、電源的去耦鉭電容,應(yīng)靠近電壓調(diào)整模塊的輸出位置,電源電路的濾波鉭電容電壓應(yīng)采用 1/3 降額設(shè)計(jì)。注:1、變壓器的原邊和副邊:被供電的是原邊,輸出
2019-02-22 12:03:52

[啟公開課]打造個人學(xué)習(xí)芯片設(shè)計(jì)平臺

`基于VMware workstation,安裝Linux操作系統(tǒng)及相關(guān)的EDA軟件,配置EDA芯片設(shè)計(jì)環(huán)境,打造個人芯片設(shè)計(jì)學(xué)習(xí)平臺,練習(xí)實(shí)踐所學(xué)芯片設(shè)計(jì)理論,增強(qiáng)芯片設(shè)計(jì)能力!加入啟學(xué)堂QQ群:275855756第一講:第二講:第三講:第四講:第五講:第六講:第七講:`
2013-06-15 11:45:29

中國FPGA芯片行業(yè)競爭格局——TOP10企業(yè)特點(diǎn)

開發(fā)軟件“HqFpga”, 支持布局布線、時序分析、內(nèi)邏輯分析等任務(wù)京微齊力:采用40納米工藝芯片應(yīng)用于智能穿戴設(shè)備領(lǐng)域,具備100項(xiàng)以上FPGA專利及專有技術(shù)(國際專利)授權(quán)及二次開發(fā)權(quán)聯(lián)捷科技:研發(fā)
2021-09-10 14:46:09

中科君1200V系列IGBT在工業(yè)焊機(jī)電源的應(yīng)用

結(jié)構(gòu),相對于半橋結(jié)構(gòu)全橋電路輸出功率能力更強(qiáng),半橋拓?fù)涠嘤糜诤笝C(jī)輸出電流315A的焊接電源。圖1 三相輸入半橋拓?fù)鋱D2 三相輸入全橋結(jié)構(gòu)2IGBT芯片技術(shù)中科君IGBT芯片技術(shù)歷經(jīng)穿通型(PT
2014-08-13 09:01:33

低功耗DFM和高速接口

授權(quán)使用正確的低功耗分析和最優(yōu)化引擎,這些功能要求集成在整個設(shè)計(jì)流程。此外,在65納米芯片設(shè)計(jì),約有50%的設(shè)計(jì)工作是混合信號設(shè)計(jì)。傳統(tǒng)的模擬設(shè)計(jì)流程數(shù)字工作處于完全隔離的狀態(tài),如何把模擬和數(shù)字
2019-05-20 05:00:10

分析師:蘋果或推出自家搜索引擎;曝因芯片缺貨,華為智慧屏削減30-40%訂單;精選資料分享

后,智慧屏業(yè)務(wù)就面臨了極大不確定性。中國本土晶圓廠國際擁有量產(chǎn) 28nm 芯片能力,但是也不可避免的會...
2021-07-23 06:11:51

如何提升CPU芯片處理事件能力?

如何提升CPU芯片處理事件能力?
2022-02-07 09:07:12

如何提升模型能力

目標(biāo)檢測的模型集成方法及實(shí)驗(yàn)常見的提升模型能力的方式
2021-02-24 07:10:29

如何判斷你的電路設(shè)計(jì)能力

本帖最后由 carey123 于 2014-12-9 15:45 編輯 現(xiàn)在網(wǎng)絡(luò)上廣為流傳的電路設(shè)計(jì)能力判斷方法,可大致分為兩類:一類偏向于“玄學(xué)”,比如--電路設(shè)計(jì)的九個層次一文,內(nèi)容
2014-12-09 15:44:18

如何設(shè)計(jì)才能提升LED的驅(qū)動能力?

如何設(shè)計(jì)才能提升LED的驅(qū)動能力?
2021-04-09 06:57:08

展訊將主打TD與WCDMA 將推出28納米LTE芯片

首款40納米TD基帶芯片出貨量已經(jīng)超過1000萬片。李力游表示,在國內(nèi)TD領(lǐng)域,展訊的市場份額已經(jīng)達(dá)到約50%,其芯片產(chǎn)品得到了國際一線品牌手機(jī)廠商的采納,比如,三星手機(jī)GALAXY S Ⅱ就是采用
2011-10-27 11:50:07

我對IC設(shè)計(jì)流程的一些理解

小的設(shè)計(jì),因?yàn)榍罢叩墓ぷ髌脚_是工作站后者是PC)。全定制數(shù)字Asic或者混合信號ASIC(從下到上與從上到下結(jié)合)當(dāng)需要制作全定制的數(shù)字芯片時,傳統(tǒng)的從上到下的設(shè)計(jì)流程就不完全奏效了。其中最大的不同就是全定制
2013-01-07 17:10:35

每日話題:美國點(diǎn)名警告國際,打壓風(fēng)暴是否會造成新危機(jī)?

展開深度合作,美國將切斷中國企業(yè)生產(chǎn)產(chǎn)品所需要的美國設(shè)備以及軟件的供應(yīng)。對此,拜登***可能會選取的攻擊目標(biāo)為中國的半導(dǎo)體集團(tuán)公司國際,其他無視美國制裁禁令繼續(xù)向俄羅斯供應(yīng)芯片或者先進(jìn)技術(shù)的中國企業(yè)
2022-03-11 10:34:37

芯片助力電力設(shè)備快速智能化數(shù)字化升級

產(chǎn)品采用40nm四核A7芯片相比,RK3358J具有同等頻率下綜合性能提升40%左右而功耗降低10%的明顯優(yōu)勢,長時間工作溫升低,具有更高的可靠性?! K3358J工規(guī)芯片,面向集中器、采集器、能源
2022-07-25 15:48:24

簡述PLC在提升機(jī)的設(shè)計(jì)與應(yīng)用

幾個工藝段,分別安裝在幾個樓層,這樣各個工藝段的貨物如果使用傳統(tǒng)的貨物電梯進(jìn)行傳送,將會給生產(chǎn)帶來許多不便,而且還會影響生產(chǎn)效率。因此,設(shè)計(jì)一個直接連接不同樓層的生產(chǎn)線的提升機(jī)是必要的。1、工藝流程
2011-09-29 09:47:16

魂遷光刻,夢繞芯片,國際終獲ASML大型光刻機(jī) 精選資料分享

EUV主要用于7nm及以下制程的芯片制造,光刻機(jī)作為集成電路制造中最關(guān)鍵的設(shè)備,對芯片制作工藝有著決定性的影響,被譽(yù)為“超精密制造技術(shù)皇冠上的明珠”,根據(jù)之前國際的公報,目...
2021-07-29 09:36:46

國產(chǎn)半導(dǎo)體黑馬誕生,地位僅次于國際,一年?duì)I收超60億

國際行業(yè)芯片驗(yàn)證板
芯前沿發(fā)布于 2021-07-16 18:01:23

國際:能否成為“臺積電”?

國際臺積電
芯前沿發(fā)布于 2021-07-16 18:28:10

Cadence仿真流程

Cadence 仿真流程:第一章 在Allegro 中準(zhǔn)備好進(jìn)行SI 仿真的PCB 板圖1)在Cadence 中進(jìn)行SI 分析可以通過幾種方
2008-07-12 08:56:050

cadence 視頻教程 (第40課)

cadence 視頻教程 (第40課):cadence SPB 15.7 視頻教程,手把手教你學(xué)習(xí)cadence軟件使用方法。本套視頻教程是于博士信號完整性研究網(wǎng)于爭博士主講。從一個工程師的角度出發(fā)講解軟件的操
2009-09-16 18:45:050

荷蘭光刻機(jī)抵達(dá)國際生產(chǎn)車間#華為可以緩口氣了

國際光刻晶圓制造
小凡發(fā)布于 2022-09-25 10:24:41

重大利好!國際訂購12億美元光刻機(jī)!

國際光刻晶圓制造
小凡發(fā)布于 2022-09-25 19:58:14

臺積電率先量產(chǎn)40納米工藝

臺積電率先量產(chǎn)40納米工藝 臺積電公司日前表示,40納米泛用型(40G)及40納米低耗電(40LP)工藝正式進(jìn)入量產(chǎn),成為專業(yè)集成電路制造服務(wù)領(lǐng)域唯一量產(chǎn)40納米工藝的公司
2008-11-22 18:27:07724

Cadence生物指紋安全解決方案為UPEK整合芯片設(shè)計(jì)流程

    2009年3月4日,Cadence設(shè)計(jì)系統(tǒng)公司今天宣布生物指紋安全解決方案領(lǐng)先廠商UPEK®, Inc.已經(jīng)整合其設(shè)計(jì)流程,并選擇Cadence®作為其全芯片數(shù)字、模擬與混合信號設(shè)計(jì)的
2009-03-05 12:14:18519

Cadence推出首個TLM驅(qū)動式設(shè)計(jì)與驗(yàn)證解決方案提升基于

Cadence推出首個TLM驅(qū)動式設(shè)計(jì)與驗(yàn)證解決方案提升基于RTL流程的開發(fā)效率 Cadence設(shè)計(jì)系統(tǒng)公司推出首個TLM驅(qū)動式協(xié)同設(shè)計(jì)與驗(yàn)證解決方案和方法學(xué),使SoC設(shè)計(jì)師們可以盡
2009-08-11 09:12:18499

中芯國際將45納米工藝技術(shù)延伸至40納米以及55納米

中芯國際將45納米工藝技術(shù)延伸至40納米以及55納米 上海2009年10月14日電  -- 中芯國際集成電路制造有限公司(“中芯國際”,紐約
2009-10-15 08:22:44793

中芯國際采用Cadence DFM解決方案用于65和45納米

中芯國際采用Cadence DFM解決方案用于65和45納米 IP/庫開發(fā)和全芯片生產(chǎn) Cadence 模型化的 Litho Physical 和 Litho Electrical
2009-10-19 17:48:11461

中芯國際采用 Cadence DFM 解決方案用于65和45

Cadence 模型化的 Litho Physical 和 Litho Electrical AnalyzerLitho Physical 與 Litho Electrical Analyzer 解決方案提供了快速、精確硅認(rèn)證的全芯片電氣 DFM 驗(yàn)證流程
2009-10-20 09:54:02990

中芯國際(SMIC)和Cadence 共同推出用于65納米

中芯國際(SMIC)和Cadence 共同推出用于65納米的低功耗解決方案Reference Flow 4.0 完全集成的能效型流程令快速、輕松地設(shè)計(jì)低功耗尖端器件成為可能
2009-10-31 07:48:011228

中芯國際(SMIC)和Cadence共同推出用于65納米的低

中芯國際(SMIC)和Cadence共同推出用于65納米的低功耗解決方案Reference Flow 4.0 全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司今天宣布推出一款全面的低功耗設(shè)計(jì)流程,面向
2009-11-04 17:05:17589

芯邦采用Cadence Incisive Xtreme II

芯邦采用Cadence Incisive Xtreme III系統(tǒng)提升SoC驗(yàn)證實(shí)效  全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司今天宣布,位于中國深圳的、無晶圓廠集成電路設(shè)計(jì)領(lǐng)先企業(yè)芯邦科
2010-03-02 10:32:47573

中芯國際力爭在2010年實(shí)現(xiàn)45納米小批量試產(chǎn)

中芯國際力爭在2010年實(shí)現(xiàn)45納米小批量試產(chǎn) 2010年,中芯國際將加強(qiáng)65納米的嵌入式工藝平臺和32納米關(guān)鍵模塊的研發(fā);同時力爭實(shí)現(xiàn)45納米40納米技術(shù)的小批量試產(chǎn)
2010-03-08 09:33:16471

三星電子推出40納米級動態(tài)存儲芯片

三星電子推出40納米級動態(tài)存儲芯片       據(jù)韓國《中央日報》報道,世界著名存儲芯片企業(yè)三星電子在全球率先推出40納米級32GB DRAM(動態(tài)隨機(jī)存取記
2010-04-07 12:36:05713

英飛凌采用新思科技流程開發(fā)新一代3G基帶處理器

新思科技完整實(shí)施流程助力英飛凌在中國成功實(shí)現(xiàn)首款40納米3G基帶處理器芯片設(shè)計(jì)和一次流片成功 中國北京和西安,2010年8月9日—全
2010-08-11 14:39:39510

展訊采用Cadence解決方案一次性流片成功

Cadence設(shè)計(jì)系統(tǒng)公司日前宣布展訊通信有限公司實(shí)現(xiàn)了其首款40納米低功耗GSM/GPRS/EDGE/TD-SCDMA/HSPA商用無線通信芯片的一次性流片成功。
2011-01-22 10:04:17988

Cadence推出28納米的可靠數(shù)字端到端流程

即將上市的這種新流程支持Cadence的硅實(shí)現(xiàn)方法,專注于獨(dú)一無二且普遍深入的設(shè)計(jì)意圖、提取與從RTL到GDSII,然后到封裝。硅實(shí)現(xiàn)是EDA360構(gòu)想的一個關(guān)鍵組成部分。
2011-06-28 09:44:23455

三星使用Cadence統(tǒng)一數(shù)字流程實(shí)現(xiàn)20nm芯片流片

三星電子有限公司使用Cadence統(tǒng)一數(shù)字流程,從RTL到GDSII,成功實(shí)現(xiàn)了20納米測試芯片的流片
2011-07-27 08:47:49967

展訊年內(nèi)將推出40納米LTE芯片

展訊董事長兼CEO李力游在接受搜狐IT專訪時透露,展訊將于今年年底前推出基于40納米技術(shù)的LTE芯片
2011-08-17 08:39:12686

Giantec采用Cadence技術(shù)統(tǒng)一數(shù)字流程生產(chǎn)其混合信號芯片

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS),宣布Giantec Semiconductor Corp.已采用Cadence? Virtuoso?統(tǒng)一定制/模擬(IC6.1)以及Encounter?統(tǒng)一數(shù)字流程生產(chǎn)其混合信號芯片。
2011-09-27 11:06:261483

創(chuàng)毅推40納米TD-LTE芯片WarpDrive5000

近日,創(chuàng)毅正式推出兼容3GPP R9版本的40nm工藝 WarpDrive 5000芯片。該款芯片支持TD-LTE FDD/TDD共模,采用40納米工藝,兼容3GPP LTE 標(biāo)準(zhǔn) (Release-9)
2011-09-30 09:38:42872

中芯與燦芯40LL ARM Cortex-A9首次成功流片

燦芯半導(dǎo)體與中芯國際及ARM今日聯(lián)合宣布,采用中芯國際40納米低漏電工藝的 ARM Cortex-A9 MPCore 雙核測試芯片首次成功流片。
2012-02-28 09:06:121148

Cadence提供新一代Encounter RTL-to-GDSII流程

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司日前宣布推出最新版Cadence Encounter RTL-to-GDSII流程.
2012-03-10 09:44:06763

展訊發(fā)布首款40納米CMOS工藝基帶芯片

北京時間4月26日消息,據(jù)國外媒體報道,展訊通信今日宣布,業(yè)界首款基于40納米CMOS工藝的2.5G基帶芯片產(chǎn)品SC6530已經(jīng)實(shí)現(xiàn)商業(yè)化應(yīng)用。
2012-04-27 14:05:16854

iPad 2升級版采用32納米芯片:續(xù)航時間提升16%

據(jù)美國科技博客AnandTech報道,蘋果已經(jīng)開始出售升級版iPad 2,通過32納米A5處理器將電池續(xù)航能力提升了16%。
2012-05-04 18:02:37962

Cadence助力Denso大幅提升IC設(shè)計(jì)效率

Cadence 設(shè)計(jì)系統(tǒng)公司日前宣布,汽車零部件生產(chǎn)商Denso公司在改用了Cadence定制/模擬與數(shù)字流程之后,在低功耗混合信號IC設(shè)計(jì)方面實(shí)現(xiàn)了質(zhì)量與效率的大幅提升。將Cadence Encounter RTL-to-G
2012-09-04 09:31:59811

TSMC 20納米的設(shè)計(jì)架構(gòu)選擇Cadence解決方案

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司日前宣布TSMC已選擇Cadence解決方案作為其20納米的設(shè)計(jì)架構(gòu)。Cadence解決方案包括Virtuoso定制/模擬以及Encounter RTL-to-Signoff平臺。
2012-10-22 16:48:03909

Cadence采用FinFET技術(shù)流片14納米芯片

該14納米產(chǎn)品體系與芯片是ARM、Cadence與IBM之間在14納米及以上高級工藝節(jié)點(diǎn)上開發(fā)系統(tǒng)級芯片(SoC)多年努力的重要里程碑。使用FinFET技術(shù)以14納米標(biāo)準(zhǔn)設(shè)計(jì)的SoC能夠大幅降低功耗。 這
2012-11-16 14:35:551270

借力Cadence,Avago 28nm網(wǎng)絡(luò)芯片設(shè)計(jì)性能提升57%

Cadence設(shè)計(jì)系統(tǒng)公司日前宣布Avago Technologies在大型28納米網(wǎng)絡(luò)芯片設(shè)計(jì)中使用其EDI系統(tǒng),大幅度加快設(shè)計(jì)進(jìn)度,提高了工程效率。Avago實(shí)現(xiàn)1GHz的性能,比之前所用軟件設(shè)計(jì)的芯片提高57%。
2013-02-04 09:17:001150

Cadence和GLOBALFOUNDRIES合作改進(jìn)20及14納米節(jié)點(diǎn)DFM簽收

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ:CDNS)近日宣布,GLOBALFOUNDRIES已攜手Cadence?,為其20和14納米制程提供模式分類數(shù)據(jù)
2013-05-13 10:20:02768

Cadence解決方案助力創(chuàng)意電子20納米SoC測試芯片成功流片

光刻物理分析器成功完成20納米系統(tǒng)級芯片(SoC)測試芯片流片。雙方工程師通過緊密合作,運(yùn)用Cadence解決方案克服實(shí)施和可制造性設(shè)計(jì)(DFM)驗(yàn)證挑戰(zhàn),并最終完成設(shè)計(jì)。
2013-07-09 15:53:24769

華力微電子與Cadence共同宣布交付55納米平臺的參考設(shè)計(jì)流程

全球電子創(chuàng)新設(shè)計(jì)Cadence公司與上海華力微電子,15日共同宣布了華力微電子基于Cadence Encounter數(shù)字技術(shù)交付55納米平臺的參考設(shè)計(jì)流程。華力微電子首次在其已建立55納米工藝上實(shí)現(xiàn)了從RTL到GDSII的完整流程
2013-08-16 11:08:111382

華力微電子基于Cadence Encounter開發(fā)55納米平臺的參考設(shè)計(jì)流程

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ:CDNS)與上海華力微電子有限公司,今天共同宣布華力微電子基于Cadence ? Encounter? 數(shù)字技術(shù)交付出55納米平臺的參考設(shè)計(jì)流程
2013-08-16 12:02:401445

中芯國際采用Cadence數(shù)字流程 新增高級功能,以節(jié)省面積、降低功耗和提高性能

? 數(shù)字工具流程,應(yīng)用于其新款SMIC Reference Flow 5.1,一款為低功耗設(shè)計(jì)的完整的RTL-GDSII 數(shù)字流程。Cadence流程結(jié)合了先進(jìn)功能,以幫助客戶為40納米芯片設(shè)計(jì)提高功率、性能和面積。
2013-09-05 16:50:41748

智原科技采用Cadence數(shù)字實(shí)現(xiàn)與驗(yàn)證解決方案,提升最大型SoC設(shè)計(jì)的性能

) 通過采用Cadence?完整的工具流程,已成功完成該公司最大型的SoC (系統(tǒng)單芯片) 項(xiàng)目開發(fā),該項(xiàng)目是用于4G基站的3億門芯片設(shè)計(jì)。通過在其分層式 (hierarchical) 設(shè)計(jì)流程中部
2013-11-19 10:30:13886

臺積電采用Cadence的FinFET單元庫特性分析解決方案

全球知名電子設(shè)計(jì)創(chuàng)新領(lǐng)先公司Cadence設(shè)計(jì)系統(tǒng)公司 (NASDAQ: CDNS),今日宣布臺積電采用Cadence?16納米FinFET單元庫特性分析解決方案。
2014-10-08 19:03:221593

Cadence 仿真流程

詳細(xì)介紹Cadence的仿真流程 有需要的朋友下來看看
2015-12-08 14:49:110

Cadence工具獲臺積電7納米早期設(shè)計(jì)及10納米芯片生產(chǎn)認(rèn)證

2016年3月22日,中國上?!请娮樱绹?Cadence 公司,NASDAQ: CDNS)今日宣布,用于10納米 FinFET工藝的數(shù)字、定制/模擬和簽核工具通過臺積電(TSMC)V1.0設(shè)計(jì)參考手冊(DRM)及SPICE認(rèn)證。
2016-03-22 13:54:541026

Cadence 與 SMIC 聯(lián)合發(fā)布低功耗 28納米數(shù)字設(shè)計(jì)參考流程

“我們與 Cadence 密切合作開發(fā)參考流程,幫助我們的客戶加快其差異化的低功耗、高性能芯片的設(shè)計(jì),”中芯國際設(shè)計(jì)服務(wù)中心資深副總裁湯天申博士表示,“Cadence創(chuàng)新的數(shù)字實(shí)現(xiàn)工具與中芯國際28納米工藝的緊密結(jié)合,能夠幫助設(shè)計(jì)團(tuán)隊(duì)將28納米設(shè)計(jì)達(dá)到更低的功耗以及更快的量產(chǎn)化。”
2016-06-08 16:09:562242

為什么這些公司都采用 7 納米工藝的 CCIX 測試芯片

賽靈思、Arm、Cadence和臺積公司今日宣布計(jì)劃在 2018 年交付 7 納米 FinFET 工藝芯片。這一測試芯片旨在從硅芯片層面證明 CCIX 能夠支持多核高性能 Arm CPU 和 FPGA 加速器實(shí)現(xiàn)一致性互聯(lián)。
2017-09-25 11:20:206826

蘋果新款采用7納米芯片 比10納米芯片效率更高

蘋果明年推出的新手機(jī)采用的 A12 芯片將是臺積電 7 納米制程生產(chǎn),報導(dǎo)指出,全球只有 2 家公司真的有能力最快在明年推出7納米芯片,那就是蘋果和三星 (005930-KR)。
2017-12-21 11:47:5214427

五個階段判斷你的電路設(shè)計(jì)能力

電路設(shè)計(jì)能力的判斷方法 現(xiàn)在網(wǎng)絡(luò)上廣為流傳的電路設(shè)計(jì)能力判斷方法,可大致分為兩類:一類偏向于“玄學(xué)”,比如--電路設(shè)計(jì)的九個層次一文,內(nèi)容是玄之又玄,能達(dá)到其最高九段標(biāo)準(zhǔn)的,地球上可能找不幾個人。
2018-04-14 12:37:0019225

5個階段判斷你的電路設(shè)計(jì)能力

現(xiàn)在網(wǎng)絡(luò)上廣為流傳的電路設(shè)計(jì)能力判斷方法,可大致分為兩類:一類偏向于“玄學(xué)”,比如--電路設(shè)計(jì)的九個層次一文,內(nèi)容是玄之又玄,能達(dá)到其最高九段標(biāo)準(zhǔn)的,地球上可能找不幾個人。
2018-05-29 17:12:094317

中芯國際在2019年的起步時刻,正式敲定了14納米芯片

中芯國際14納米芯片的量產(chǎn),意味著:在今年上半年,我們國產(chǎn)手機(jī)就能用上中端性能的國產(chǎn)芯片了!要知道,華為榮耀8XMax、紅米note7、vivo X21用的都是14納米芯片。
2019-02-25 13:54:5818116

Cadence 數(shù)字流程解決方案通過三星5LPE工藝認(rèn)證

采用極紫外(EUV)光刻技術(shù)的Cadence 數(shù)字流程解決方案已通過Samsung Foundry 5nm早期低功耗版(5LPE)工藝認(rèn)證。
2019-07-11 16:36:473435

PADS自動化專業(yè)的Rigid-Flex設(shè)計(jì)能力

加入我們來看看你可以減少設(shè)計(jì)時間與墊使用自動化專業(yè)Rigid-Flex設(shè)計(jì)能力。
2019-10-18 07:02:002276

首款基于機(jī)器學(xué)習(xí)引擎的新版數(shù)字流程吞吐量最高提升了3倍

楷登電子(美國 Cadence 公司,NASDAQ:CDNS)今日發(fā)布已經(jīng)過數(shù)百次先進(jìn)工藝節(jié)點(diǎn)成功流片驗(yàn)證的新版 Cadence 數(shù)字流程,進(jìn)一步優(yōu)化功耗,性能和面積,廣泛應(yīng)用于汽車,移動,網(wǎng)絡(luò)
2020-03-18 17:01:562710

任正非:華為目前積累了很強(qiáng)的芯片設(shè)計(jì)能力

任正非表示:“我們國家要重新認(rèn)識芯片問題,芯片的設(shè)計(jì)當(dāng)前中國已經(jīng)步入世界領(lǐng)先,華為目前積累了很強(qiáng)的芯片設(shè)計(jì)能力;芯片的制造中國也是世界第一,在臺灣。
2020-11-16 14:42:572514

Cadence榮獲全球電子成就獎:年度EDA/IP產(chǎn)品獎項(xiàng)

)。 Cadence數(shù)字流程iSpatial技術(shù)流程采用了支持機(jī)器學(xué)習(xí)(ML)功能的統(tǒng)一布局布線和物理優(yōu)化引擎等多項(xiàng)業(yè)界首創(chuàng)技術(shù),吞吐量最高提升3倍,PPA最高提升20%,助力實(shí)現(xiàn)卓越設(shè)計(jì)。由于其對用戶
2020-11-26 11:04:462406

蘋果已預(yù)定臺積電基于3納米工藝芯片的生產(chǎn)能力

12月23日消息,蘋果公司已預(yù)定臺積電基于3納米工藝芯片的生產(chǎn)能力,以便在其iOS產(chǎn)品和自研電腦芯片中使用。
2020-12-23 10:17:011568

Cadence宣布推出Cadence Safety Solution安全方案

Cadence Safety Solution 包括新的 Midas Safety Platform,為模擬和數(shù)字流程提供基于 FMEDA 功能安全設(shè)計(jì)和驗(yàn)證的統(tǒng)一方案 該安全流程方案為汽車、工業(yè)
2021-10-26 14:24:344050

OpenHarmony Tech Day技術(shù)日 原子化大服務(wù)設(shè)計(jì)能力

主要講解了原子化大服務(wù)設(shè)計(jì)能力,其中主要包括五點(diǎn)
2022-04-25 11:02:56731

Cadence采用人工智能技術(shù)實(shí)現(xiàn)數(shù)字芯片設(shè)計(jì)自動化和擴(kuò)展

楷登電子(美國 Cadence 公司,NASDAQ:CDNS)今日宣布,隨著新的生產(chǎn)部署完成,客戶加速采用 Cadence? Cerebrus? Intelligent Chip Explorer。
2022-06-14 16:42:301825

Cadence數(shù)字和定制 / 模擬設(shè)計(jì)流程獲得N4P工藝認(rèn)證

楷登電子(美國 Cadence 公司,NASDAQ:CDNS)今日宣布,其數(shù)字和定制 / 模擬設(shè)計(jì)流程已獲得 TSMC N3E 和 N4P 工藝認(rèn)證,支持最新的設(shè)計(jì)規(guī)則手冊(DRM)。
2022-06-17 17:33:054800

Cadence數(shù)字和定制/模擬設(shè)計(jì)流程獲得臺積電最新N4P和N3E工藝認(rèn)證

中國上海,2022 年 10 月 27 日 —— 楷登電子(美國 Cadence 公司,NASDAQ:CDNS)今日宣布,Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程已獲得臺積電最新 N4P 和 N3E
2022-10-27 11:01:37940

聯(lián)華電子和Cadence共同合作開發(fā)3D-IC混合鍵合(hybrid-bonding)參考流程

聯(lián)華電子(NYSE:UMC;TWSE:2303)與楷登電子(美國 Cadence 公司,NASDAQ:CDNS)今日共同宣布,采用 Integrity 3D-IC 平臺的 Cadence 3D-IC 參考工作流程已通過聯(lián)電的芯片堆棧技術(shù)認(rèn)證,將進(jìn)一步縮短產(chǎn)品上市時間。
2023-02-03 11:02:231417

創(chuàng)意電子采用Cadence數(shù)字解決方案完成首款臺積電N3制程芯片及首款A(yù)I優(yōu)化的N5制程設(shè)計(jì)

的先進(jìn)設(shè)計(jì)。另一款 CPU 設(shè)計(jì)采用 AI 賦能的 Cadence Cerebrus Intelligent Chip Explorer 和完整的數(shù)字設(shè)計(jì)流程,借助臺積電 N5 制程工藝,成功讓功耗降低 8%,設(shè)計(jì)面積縮小 9%,同時顯著提升了工程效率。
2023-02-06 15:02:481048

Cadence數(shù)字和定制/模擬設(shè)計(jì)流程獲得TSMC最新N3E和N2工藝技術(shù)認(rèn)證

楷登電子(美國 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程已通過 TSMC N3E 和 N2 先進(jìn)工藝的設(shè)計(jì)規(guī)則手冊(DRM)認(rèn)證。兩家公司還發(fā)
2023-05-09 10:09:23708

Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程獲得 Samsung Foundry SF2 和 SF3 工藝技術(shù)認(rèn)證

已經(jīng)過 SF2 和 SF3 流程認(rèn)證 ●? Cadence 數(shù)字流程針對先進(jìn)節(jié)點(diǎn)實(shí)現(xiàn)了最佳 PPA 結(jié)果 ● Cadence 定制/模擬工具,包括基于 AI 的 Virtuoso Studio
2023-07-05 10:10:01322

Cadence數(shù)字和定制/模擬流程通過Samsung Foundry的SF2、SF3工藝技術(shù)認(rèn)證

已經(jīng)過 SF2 和 SF3 流程認(rèn)證 ●?Cadence 數(shù)字流程針對先進(jìn)節(jié)點(diǎn)實(shí)現(xiàn)了最佳 PPA 結(jié)果 ●Cadence 定制/模擬工具,包括基于 AI 的 Virtuoso Studio,已針對
2023-07-05 10:12:14381

Cadence 數(shù)字、定制/模擬設(shè)計(jì)流程通過認(rèn)證,Design IP 現(xiàn)已支持 Intel 16 FinFET 制程

Cadence 流程,以十足把握交付各類 HPC 及消費(fèi)電子應(yīng)用 中國上海,2023 年 7 月 14 日——楷登電子(美國 Cadence 公司,NASDAQ:CDNS)近日宣布其數(shù)字和定制/模擬
2023-07-14 12:50:02381

Cadence 數(shù)字和定制/模擬設(shè)計(jì)流程獲 TSMC 最新 N2 工藝認(rèn)證

內(nèi)容提要 Cadence 數(shù)字流程涵蓋關(guān)鍵的新技術(shù),包括一款高精度且支持大規(guī)模擴(kuò)展的寄生參數(shù) 3D 場求解器 Cadence Cerebrus 由 AI 驅(qū)動,支持 N2 制程,可大幅提高客戶
2023-10-10 16:05:04270

中國開發(fā)新芯片,算力提升3000倍!

據(jù)了解ACCEL芯片的光學(xué)芯片部分只要采用納米級別工藝,而電路部分更是可以采用180納米CMOS工藝就能生產(chǎn)這種芯片,用如此落后的工藝卻能將芯片性能提升3000倍,與當(dāng)前的7納米工藝芯片性能相當(dāng)。
2023-11-03 16:29:08377

長電科技先進(jìn)封裝設(shè)計(jì)能力的優(yōu)勢

作為全球領(lǐng)先的芯片封測企業(yè),長電科技深刻理解先進(jìn)的封裝設(shè)計(jì)能力對于確保半導(dǎo)體行業(yè)的產(chǎn)品性能、功能和成本至關(guān)重要。大規(guī)模高密度的集成電路為產(chǎn)品設(shè)計(jì)提供了極大的靈活性。例如Chiplet等前沿技術(shù)包含
2023-12-18 11:11:46390

已全部加載完成