電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>測(cè)量?jī)x表>設(shè)計(jì)測(cè)試>內(nèi)置波形發(fā)生器的示波器進(jìn)行元器件測(cè)試

內(nèi)置波形發(fā)生器的示波器進(jìn)行元器件測(cè)試

1234下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

5分鐘內(nèi)將Raspberry Pi Pico變成簡(jiǎn)單的示波器+波形發(fā)生器

5 分鐘內(nèi)將 Raspberry Pi Pico(或任何 RP2040 板)變成簡(jiǎn)單的示波器+波形發(fā)生器。
2024-03-04 09:14:48435

1000kV沖擊電壓發(fā)生器及測(cè)量系統(tǒng)的設(shè)計(jì)

,給出了電路原理圖及實(shí)物結(jié)構(gòu)圖,并對(duì)主要元器件進(jìn)行了選擇,最后利用仿真軟件ATP對(duì)輸出波形進(jìn)行了仿真,以驗(yàn)證選擇參數(shù)的正確性,同時(shí)對(duì)某些電路參數(shù)對(duì)沖擊電壓波形的影響作出了分析。關(guān)鍵詞:沖擊電壓發(fā)生器;電路設(shè)計(jì);結(jié)構(gòu)圖;ATP仿真
2019-12-10 13:24:55

33611A安捷倫33611A-80Mhz單通道波形發(fā)生器

示波器、頻譜分析儀、網(wǎng)絡(luò)分析儀、信號(hào)發(fā)生器、色彩分析儀、音頻分析儀、耐壓測(cè)試儀、直流電源、地線導(dǎo)通測(cè)試儀、漏電電流測(cè)試儀、絕緣測(cè)試儀、LCR電橋、萬(wàn)用表、亮度計(jì)、測(cè)試探頭等等。33611A 波形發(fā)生器
2021-01-04 16:45:12

波形發(fā)生器如何正確設(shè)計(jì)?

波形發(fā)生器如何正確設(shè)計(jì)?
2018-09-08 18:25:54

波形發(fā)生器帶不動(dòng)負(fù)載

就是波形發(fā)生器信號(hào)微弱,帶不動(dòng)后面的負(fù)載。我在波形發(fā)生器的正極與負(fù)載之間加了一個(gè)簡(jiǎn)單的三極管放大電路,結(jié)果負(fù)載就不好用了,波形發(fā)生器發(fā)出的信號(hào)控制不了負(fù)載。如果去掉放大電路的話就能控制,怎么做才能讓波形發(fā)生器產(chǎn)生的信號(hào)強(qiáng)一些
2019-12-02 09:52:23

波形發(fā)生器接上示波器不出波形

`波形發(fā)生器接上示波器不出波形`一鍵分析設(shè)計(jì)隱患,首款國(guó)產(chǎn)PCB DFM分析軟件免費(fèi)用!地址下載(電腦端下載):https://dfm.elecfans.com/uploads/software
2021-04-23 08:34:41

波形發(fā)生器設(shè)計(jì)

51單片機(jī)能設(shè)計(jì)出2MHz的波形發(fā)生器嗎?需要用到哪些器件?
2017-11-01 13:07:58

測(cè)試儀器科普_信號(hào)發(fā)生器的種類知道多少

說(shuō)起信號(hào)源,硬件工程師們肯定是非常熟悉的,它與頻譜儀、示波器一樣都是電子及信息通信領(lǐng)域產(chǎn)品研發(fā)常備的測(cè)試儀器。信號(hào)源也稱為信號(hào)發(fā)生器,是一種可以生成模擬或數(shù)字域電子信號(hào)的電子設(shè)備。它主要用于研發(fā)
2022-03-15 15:43:59

示波器與信號(hào)發(fā)生器的使用

示波器與信號(hào)發(fā)生器的使用一.實(shí)訓(xùn)目的與要求1.掌握示波器的使用,會(huì)用示波器測(cè)試波形的幅值與頻率;2.掌握信號(hào)發(fā)生器的使用,會(huì)用信號(hào)發(fā)生器調(diào)出所頻率與幅值的信號(hào)。
2008-07-21 17:30:36

示波器波形發(fā)生器用法舉例

示波器波形發(fā)生器用法舉例
2012-08-20 21:39:01

Agilent 33511B,長(zhǎng)期供應(yīng)波形發(fā)生器

和 Basic 軟件 無(wú)需進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力從前面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、MicrosoftExcel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器
2021-06-21 11:41:47

Agilent 33522B 波形發(fā)生器 長(zhǎng)期供應(yīng)

Basic & Pro 和 Basic 軟件無(wú)需進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力?從前面板使用內(nèi)置編輯創(chuàng)建波形?從示波器捕獲波形并將其 到波形發(fā)生器?在 MATLAB
2022-02-08 10:56:40

Agilent E4437B 信號(hào)發(fā)生器 銷售

對(duì)接收機(jī)進(jìn)行測(cè)試。改變調(diào)制類型、數(shù)據(jù)、碼元速率、濾波型式和濾波因數(shù),以生成供元器件和系統(tǒng)容限測(cè)試用的定制信號(hào)。很容易配置時(shí)隙來(lái)模擬不同類型的通信業(yè)務(wù)量、控制信道或同步信道(或突發(fā)信號(hào))。可產(chǎn)生具有內(nèi)部
2022-02-18 16:11:40

DG5000集任意波形發(fā)生器

,幅度范圍,準(zhǔn)確度、信號(hào)質(zhì)量、任意波長(zhǎng)度等等。每項(xiàng)指標(biāo)都與我們實(shí)際測(cè)試的需求及應(yīng)用相關(guān)。DG5000集任意波形發(fā)生器、脈沖發(fā)生器、IQ基帶源/中頻源、跳頻源、碼型發(fā)生器、函數(shù)發(fā)生器6大功能于一身,該系
2022-01-12 16:33:00

E4431B信號(hào)發(fā)生器

范圍和改善了噪聲性能。在對(duì)IQ生成進(jìn)行優(yōu)化后,雙任意波形發(fā)生器選件將使裝置大為簡(jiǎn)化。W-CDMA和Cdma 2000E4431B能產(chǎn)生符合正在擬定的國(guó)際標(biāo)準(zhǔn)的正確編碼信號(hào)。模擬用于基站和移動(dòng)接收機(jī)測(cè)試的全編碼信道或
2020-11-12 11:47:03

Keysight 33510B 波形發(fā)生器Keysight 33510B 波形發(fā)生器,20 MHz,2 通道

& Pro 和 Basic軟件 無(wú)需進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力 從前面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器 在 MATLAB
2018-11-09 11:51:48

Keysight 33510B回收+Agilent 33510B回收波形/函數(shù)發(fā)生器

,不會(huì)錯(cuò)過(guò)對(duì)器件可靠性測(cè)試至關(guān)重要的短時(shí)異常。更好的信號(hào)完整性無(wú)論頻率或采樣率如何,您都可以獲得所需的波形。更小的諧波失真準(zhǔn)確測(cè)量設(shè)計(jì)的特征,而不受波形發(fā)生器特征的影響。降低的抖動(dòng)更低的抖動(dòng)可為您帶來(lái)
2020-09-08 08:49:24

Keysight 33519B 現(xiàn)金回收 波形發(fā)生器

進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力·從前面板使用內(nèi)置編輯創(chuàng)建波形·從示波器捕獲波形并將其 到波形發(fā)生器·在 MATLAB、Microsoft? Excel 等環(huán)境中創(chuàng)建波形并將其 到波形
2022-01-04 10:48:43

keysightM8190A回收是德科技, 任意波形發(fā)生器

)提供了豐富的功能,使您不論是需要精確的信號(hào)來(lái)表征設(shè)計(jì)的性能,還是需要對(duì)器件進(jìn)行極限測(cè)試,都能使用適合的功能生成復(fù)雜的真實(shí)信號(hào)。從隱身雷達(dá)到高容量通信,使用我們精確的任意波形發(fā)生器,都能讓您的測(cè)試更接近
2021-07-06 17:53:19

labview的虛擬波形發(fā)生器示波器的設(shè)計(jì)

求一個(gè)虛擬波形發(fā)生器示波器
2015-04-05 10:35:34

一臺(tái)便攜式的多功能示波器&任意波形發(fā)生器

便攜式多功能示波器&任意波形發(fā)生器(一)項(xiàng)目簡(jiǎn)述目錄便攜式多功能示波器&任意波形發(fā)生器(一)項(xiàng)目簡(jiǎn)述項(xiàng)目簡(jiǎn)述項(xiàng)目由來(lái)項(xiàng)目需求項(xiàng)目時(shí)間項(xiàng)目預(yù)算示波器側(cè)方案任意波形發(fā)生器測(cè)方案按鍵
2021-08-06 09:30:56

二手33510B 東莞出售波形發(fā)生器

進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力從前面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、MicrosoftExcel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器
2021-06-21 11:38:15

二手Tektronix AFG310-任意波形信號(hào)發(fā)生器

波形發(fā)生器AFG320可編程序任意函數(shù)發(fā)生器提供兩條獨(dú)立的通道 可通過(guò)GPIB接口從任何Tektronix數(shù)字式示波器內(nèi)直接下裝波形隨機(jī)提供Windows環(huán)境下使用的WaveWriterTM波形編輯
2019-12-17 21:22:57

二手的函數(shù)信號(hào)發(fā)生器RIGOL DG1022波形發(fā)生器

專業(yè)銷售、租賃、維修、計(jì)量.回收高頻二手儀器!!歡迎來(lái)電咨詢?cè)敿?xì):本公司現(xiàn)金高價(jià)收購(gòu)各類示波器,萬(wàn)用表,信號(hào)發(fā)生器, ,網(wǎng)絡(luò)分析儀 頻譜分析儀,綜合測(cè)試儀 ,藍(lán)牙測(cè)試儀。直流電源供應(yīng), 交流變頻電源
2020-04-02 21:41:14

任意波形發(fā)生器

的,從而使波形周期(或頻率)可以變化。如地址計(jì)數(shù)計(jì)時(shí)越快,通過(guò)記憶的循環(huán)就越快,波形頻率就越高DAC的輸出被放大后通過(guò)可變衰咸輸出.。難于產(chǎn)生的或不能產(chǎn)生的波形,都可使用任意波形發(fā)生器,自然它也
2017-10-12 09:57:38

使用示波器波形發(fā)生器對(duì)元器件進(jìn)行測(cè)試的方法介紹

本文說(shuō)明了使用示波器波形發(fā)生器對(duì)元器件進(jìn)行測(cè)試的方法。將展示電容、電感、二極管、雙極晶體管及電纜的測(cè)試過(guò)程。這些測(cè)試方法可用于確定故障部件或識(shí)別無(wú)標(biāo)注元器件的作用。
2019-07-23 07:54:41

供應(yīng) Keysight33622A 波形發(fā)生器

USB、LAN(LXI-Core)、GPIB 可選接口使儀器輕松快捷地連接到 PC 或網(wǎng)絡(luò)波形創(chuàng)建和編輯33503A 使用前面板上的內(nèi)置編輯生成波形捕獲示波器中的波形,并將波形直接下載到發(fā)生器
2021-08-02 10:08:17

供應(yīng)33521B-二手33521B波形發(fā)生器出售|KEYSIGHT

面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、Microsoft? Excel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器描述Keysight(原Agilent
2021-11-01 17:20:00

供應(yīng)二手 Keysight33622A 波形發(fā)生器

和編輯33503A 使用前面板上的內(nèi)置編輯生成波形捕獲示波器中的波形,并將波形直接 到發(fā)生器在 MATLAB、Microsoft Excel 等環(huán)境中創(chuàng)建波形并將其 到波形發(fā)生器Keysight
2021-07-14 10:32:48

信號(hào)發(fā)生器示波器的誤差問(wèn)題

我用的是信號(hào)發(fā)生器是Agilent 3320A,示波器是Agilent MSO3014A,我設(shè)置的發(fā)生波形幅值為10v,頻率為300kHZ,但是在示波器上檢測(cè)到的是最大電壓9.5v,最小電壓-9.8v,頻率為299.4khz,求請(qǐng)教信號(hào)發(fā)生器示波器的誤差在什么地方?
2015-04-20 14:19:38

信號(hào)發(fā)生器基礎(chǔ)知識(shí)(一)

環(huán)境”波形。通用信號(hào)發(fā)生器可以為器件極限測(cè)試和檢定提供受控的失真和畸變?! ∧M信號(hào)還是數(shù)字信號(hào)?  目前,大多數(shù)信號(hào)發(fā)生器基于數(shù)字技術(shù)。許多信號(hào)發(fā)生器可以同時(shí)滿足模擬信號(hào)和數(shù)字信號(hào)要求,但最高
2019-11-11 16:03:02

出售Agilent33522B函數(shù)/任意波形發(fā)生器

編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力從前面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、Microsoft? Excel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器描述
2021-06-21 11:45:46

出售二手 KEYSIGHT 33522B 波形發(fā)生器

波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、Microsoft? Excel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器描述Keysight(原Agilent) 33500B 系列波形發(fā)生器
2021-11-01 17:23:24

函數(shù)信號(hào)發(fā)生器是否與任意波形信號(hào)發(fā)生器相同

都是用的鼎陽(yáng)的產(chǎn)品推薦Liquid Instruments的多功能一體式測(cè)試測(cè)量設(shè)備Moku:Lab,集成了信號(hào)發(fā)生器、任意波形發(fā)生器、鎖相放大器、示波器、頻譜分析儀、相位表等十一個(gè)專業(yè)儀器于一臺(tái)設(shè)備
2022-03-18 17:38:36

單片機(jī)元器件清單

和主要元器件清單本科組1、儀器清單500MHz 信號(hào)源(帶 AM 調(diào)制)低頻信號(hào)發(fā)生器(1Hz~10MHz)函數(shù)發(fā)生器(10 MHz,DDS)單相電參數(shù)測(cè)試儀頻率特性測(cè)試儀(1MHz ~150MHz)500MHz 數(shù)字示波器100MHz 雙通道數(shù)字示波器功率分析儀低頻毫伏表高頻毫伏表五位半數(shù)字萬(wàn)用表秒
2021-07-09 07:50:14

回收新舊 波形發(fā)生器 AWG7082

,支持網(wǎng)絡(luò)連接,提供內(nèi)置DVD、可拆卸硬盤、LAN、eSATA 和USB 端口回放示波器和實(shí)時(shí)頻譜分析儀捕獲的信號(hào),包括增強(qiáng)效應(yīng)。還可加入增強(qiáng)效應(yīng),如增加預(yù)失真效應(yīng)從 三方工具中導(dǎo)入波形矢量,如MathCAD、MATLAB、Excel 及其他程序 AWG7000高性能任意波形發(fā)生器型號(hào):型號(hào)
2021-07-13 09:41:26

如何利用FPGA設(shè)計(jì)任意波形發(fā)生器?

波形發(fā)生器廣泛應(yīng)用于電子電路、自動(dòng)控制和科學(xué)試驗(yàn)領(lǐng)域,是一種為電子測(cè)量工作提供符合嚴(yán)格技術(shù)要求的電信號(hào)設(shè)備,和示波器、電壓表、頻率計(jì)等儀器一樣是最普通、最基本也是應(yīng)用最為廣泛的電子儀器之一,幾乎所有電參量的測(cè)量都要用到波形發(fā)生器。
2019-10-15 06:30:37

如何實(shí)現(xiàn)波形發(fā)生器的軟硬件系統(tǒng)設(shè)計(jì)?

本文針對(duì)高精度波形發(fā)生器的開(kāi)發(fā),進(jìn)行了以AD760為核心的波形發(fā)生器的軟硬件系統(tǒng)設(shè)計(jì)。
2021-04-12 06:54:00

安泰小課堂——什么是任意波形發(fā)生器

,他可以產(chǎn)生任意波形和函數(shù)波形。任意波形發(fā)生器可以理解為是任何的波形,可以自己編輯或者改變。其實(shí)是基于函數(shù)發(fā)生器在做二次變化,函數(shù)發(fā)生器一般內(nèi)置正玄波,三角波,方波等等,調(diào)制方式最簡(jiǎn)單的都是AM、FM
2020-02-13 11:37:10

求助,如何使用my DAQ內(nèi)置的任意波形發(fā)生器?

我是使用DAQ內(nèi)置的任意波形發(fā)生器產(chǎn)生一個(gè)波,然后直接發(fā)給示波器。但是卻出現(xiàn)了下面的報(bào)錯(cuò),求教大神,這是什么問(wèn)題???任務(wù)尚未開(kāi)始,“DAQmx寫入”函數(shù)的“自動(dòng)開(kāi)始”輸入為“假”,采樣定時(shí)類型不是
2015-12-01 22:54:33

泰克 AFG1000 任意波形函數(shù)發(fā)生器(技術(shù)資料與型號(hào))

生成各種實(shí)驗(yàn)室測(cè)試所需波形。 最重要的是,它在泰克任意函數(shù)發(fā)生器系列中最物有所值。 AFG1000 任意波形函數(shù)發(fā)生器 特點(diǎn)與優(yōu)勢(shì):特點(diǎn)優(yōu)勢(shì)雙通道,25 MHz 帶寬,1 mVpp 到 10 Vpp
2020-03-18 16:35:26

淺析任意波形發(fā)生器

一、認(rèn)識(shí)信號(hào)源用來(lái)產(chǎn)生各種測(cè)試信號(hào)的儀器稱為信號(hào)發(fā)生器或簡(jiǎn)稱信號(hào)源。它可作為各種仿真信號(hào)或激勵(lì)信號(hào)廣泛用于各類整機(jī)、系統(tǒng)及部件、元器件測(cè)試中。例如,用信號(hào)源產(chǎn)生某種調(diào)制信號(hào)輸入給接收機(jī),用來(lái)測(cè)試
2019-07-18 07:23:02

淺談任意波形發(fā)生器及通道合并功能

用于各種仿真實(shí)驗(yàn)?! 〗裉?,博宇訊銘就針對(duì)這種特殊的信號(hào)源——任意波形發(fā)生器,進(jìn)行簡(jiǎn)單的介紹以及針對(duì)通道合并功能進(jìn)行講解,而本次講解是以鼎陽(yáng)SDG6000X-E任意波形發(fā)生器測(cè)試儀器進(jìn)行講解,具體跟隨博宇
2022-08-16 15:41:28

淺談任意波形信號(hào)發(fā)生器

`凡是產(chǎn)生測(cè)試信號(hào)的儀器,統(tǒng)稱為信號(hào)發(fā)生器,它用于產(chǎn)生被測(cè)電路所需特定的電測(cè)試信號(hào)。信號(hào)發(fā)生器有多種分類,今天介紹的是利利普OWON AG系列任意波信號(hào)發(fā)生器。1.單雙通道,雙通道完全獨(dú)立通道2.
2017-09-19 11:18:26

深圳二手波形發(fā)生器出售Agilent 33611A

; Pro 和 Basic 軟件無(wú)需進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力使用前面板上的內(nèi)置編輯生成波形捕獲示波器中的波形,并將波形直接下載到發(fā)生器在 MATLAB、Microsoft Excel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器
2021-06-19 14:32:15

租售 Tektronix/泰克 AFG31252 二手任意函數(shù)信號(hào)發(fā)生器

TekBench? 軟件,在實(shí)驗(yàn)室中幫助學(xué)生設(shè)置、控制和分析測(cè)試結(jié)果租售二手AFG31252應(yīng)用高級(jí)研究時(shí)鐘和系統(tǒng)同步復(fù)現(xiàn)真實(shí)世界信號(hào)元器件和電路表征和驗(yàn)證嵌入式電路設(shè)計(jì)和測(cè)試通用信號(hào)生成租售 Tektronix/泰克 AFG31252 二手任意函數(shù)信號(hào)發(fā)生器
2021-08-10 10:18:59

租售+回收+維修+計(jì)量 是德/Agilent33522B任意波形發(fā)生器

內(nèi)部或外部觸發(fā)源,而重復(fù)波形則不需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行。特征:30兆赫2通道為您最苛刻的測(cè)量生成全方位信號(hào)的無(wú)與倫比的能力諧波失真
2022-02-24 11:21:39

美國(guó)安捷倫Agilent/KEYSIGHT33500B 函數(shù)信號(hào)發(fā)生器

; Pro 和 Basic 軟件無(wú)需進(jìn)行大量編程即可獲得先進(jìn)的信號(hào)創(chuàng)建/編輯能力從前面板使用內(nèi)置編輯創(chuàng)建波形示波器捕獲波形并將其下載到波形發(fā)生器在 MATLAB、Microsoft? Excel 等環(huán)境中創(chuàng)建波形并將其下載到波形發(fā)生器產(chǎn)品波形和調(diào)制頻率范圍任意波形連通性類型`
2021-06-04 18:22:00

任意波形發(fā)生器

;函數(shù)/任意波形發(fā)生器——6種標(biāo)準(zhǔn)波形以及高達(dá)190多種內(nèi)置任意波形· 噪聲信號(hào)發(fā)生器——可輸出帶寬可調(diào)高斯白噪聲  創(chuàng)新的EasyPu
2022-03-07 14:48:10

函數(shù)波形發(fā)生器

精細(xì)可調(diào)· 函數(shù)/任意波形發(fā)生器——6種標(biāo)準(zhǔn)波形以及高達(dá)190多種內(nèi)置任意波形· 噪聲信號(hào)發(fā)生器——可輸出帶寬可調(diào)高斯白噪聲 &nb
2022-03-07 15:13:26

任意波形發(fā)生器

· 函數(shù)/任意波形發(fā)生器——6 種標(biāo)準(zhǔn)波形以及高達(dá)190多種內(nèi)置任意波形· IQ信號(hào)發(fā)生器——支持基帶和中頻 IQ 信號(hào)· PRBS 碼型
2022-03-07 15:26:07

LOTO USB任意波形發(fā)生器/信號(hào)源/函數(shù)發(fā)生器/任意波發(fā)生器SIG852

USB 任意波形發(fā)生器/信號(hào)源/函數(shù)發(fā)生器/任意波發(fā)生器LOTO示波器團(tuán)隊(duì)推出新品USB 任意波形發(fā)生器,滿足低頻靈活信號(hào)輸出應(yīng)用。B站演示視頻如下:【LOTO虛擬示波器---sig852 任意波形
2022-05-17 16:47:58

基于USB2.0的任意波形發(fā)生器設(shè)計(jì)

基于USB2.0芯片CY7C68013,對(duì)以D/A為核心的任意波形發(fā)生器進(jìn)行研究。實(shí)現(xiàn)了對(duì)任意波形數(shù)據(jù)的存儲(chǔ)與回放。詳細(xì)介紹了系統(tǒng)的總體結(jié)構(gòu)、波形發(fā)生器硬件電路及其與CY7C68013的接口設(shè)計(jì),
2010-03-02 15:57:4852

波形發(fā)生器設(shè)計(jì)指導(dǎo)教材

波形發(fā)生器設(shè)計(jì)指導(dǎo)教材 波形發(fā)生器的組成:由正弦波發(fā)生電路、電平比較器、積分器、有源低通
2010-04-20 10:44:1784

程序波形發(fā)生器電路

程序波形發(fā)生器電路 程序波形發(fā)生器
2009-02-09 16:07:14895

三個(gè)元器件組成的方波發(fā)生器電路圖

三個(gè)元器件組成的方波發(fā)生器電路圖
2009-05-18 15:58:491444

波形發(fā)生器電路圖

波形發(fā)生器電路圖 交流驅(qū)動(dòng)電
2009-07-17 18:48:054895

簡(jiǎn)易示波器時(shí)基發(fā)生器

簡(jiǎn)易示波器時(shí)基發(fā)生器 NE555
2009-09-29 11:49:40893

基于DDS的波形發(fā)生器設(shè)計(jì)

基于DDS的波形發(fā)生器設(shè)計(jì) 0 引 言    隨著信息技術(shù)的發(fā)展及測(cè)試對(duì)象不斷豐富,現(xiàn)代電子系統(tǒng)對(duì)波形發(fā)生器也提出了更高的要求。傳統(tǒng)的模
2010-01-27 10:49:511600

制作多波形發(fā)生器信號(hào)發(fā)生器,簡(jiǎn)單的 #硬聲創(chuàng)作季

DIY波形信號(hào)發(fā)生器波形發(fā)生器
jf_49750429發(fā)布于 2022-10-29 17:27:02

SPWM波形發(fā)生器設(shè)計(jì)與應(yīng)用

SPWM波形發(fā)生器設(shè)計(jì)與應(yīng)用
2012-07-24 16:11:525567

基于DDS的可編程的波形發(fā)生器

給予DDS可編程的波形發(fā)生器,對(duì)三個(gè)不同頻段的波形信號(hào)進(jìn)行分析
2015-11-02 17:22:443

基于LabVIEW的任意波形發(fā)生器設(shè)計(jì)

基于LabVIEW的任意波形發(fā)生器設(shè)計(jì)。
2016-01-20 16:28:4982

波形發(fā)生器設(shè)計(jì)簡(jiǎn)述

在工作中,我們常常會(huì)用到波形發(fā)生器,它是使用頻度很高的電子儀器?,F(xiàn)在的波形發(fā)生器都采用單片機(jī)來(lái)構(gòu)成。單片機(jī)波形發(fā)生器是以單片機(jī)核心,配相應(yīng)的外圍電路和功能軟件,能實(shí)現(xiàn)各種波形發(fā)生的應(yīng)用系統(tǒng),它由硬件
2016-03-28 15:43:080

波形發(fā)生器

波形發(fā)生器,可以生成4中波形。正玄波,矩形波,三角波等。
2016-05-13 10:17:0030

基于單片機(jī)的波形發(fā)生器設(shè)計(jì)

基于單片機(jī)的波形發(fā)生器設(shè)計(jì)大家參考一下吧。
2016-05-13 15:35:5339

波形發(fā)生器電路的設(shè)計(jì)與制作

波形發(fā)生器電路的設(shè)計(jì)與制作
2016-12-11 21:56:440

基于單片機(jī)的波形發(fā)生器

基于單片機(jī)的波形發(fā)生器
2016-12-11 22:52:2021

波形發(fā)生器的設(shè)計(jì)

波形發(fā)生器的設(shè)計(jì)
2016-12-17 21:49:1929

波形發(fā)生器軟件

0-20波形發(fā)生器
2016-12-28 11:07:144

簡(jiǎn)易波形發(fā)生器

簡(jiǎn)易波形發(fā)生器
2017-01-05 14:34:5428

波形發(fā)生器

多種波形發(fā)生器課程設(shè)計(jì)。
2017-02-08 02:37:21175

波形發(fā)生器應(yīng)用的測(cè)量

波形發(fā)生器應(yīng)用的測(cè)量
2017-03-28 10:24:081

關(guān)于波形發(fā)生器的設(shè)計(jì)

波形發(fā)生器
2017-07-03 15:31:14120

基于FPGA的任意波形發(fā)生器設(shè)計(jì)

波形發(fā)生器
2017-08-11 08:33:2512

任意波形發(fā)生器_DDS任意波形發(fā)生器的設(shè)計(jì)

任意波形發(fā)生器既具有其他信號(hào)源的信號(hào)生成能力,又可以通過(guò)各種編輯手段產(chǎn)生任意的波形采樣數(shù)據(jù),方便地合成其他信號(hào)源所不能生成的任意波形,從而滿足測(cè)試和實(shí)驗(yàn)的要求。
2018-01-08 11:44:5315964

波形發(fā)生器的原理及用途

如今生活中有著很多的裝置,這也為人們的生活以及工作提供了方便,當(dāng)然這些裝置也各自有著不同的功能,以保證相關(guān)情況下的使用更加輕松,這就需要大家對(duì)此進(jìn)行充分的了解,波形發(fā)生器在如今生活中的應(yīng)用是非常廣泛的,那么波形發(fā)生器原理是什么呢?下面讓我們一起來(lái)看下吧。
2021-01-01 16:59:0012671

基于USB的任意波形發(fā)生器

基于USB的任意波形發(fā)生器免費(fèi)下載。
2021-05-25 15:52:0814

MSP430F149 單片機(jī) 波形發(fā)生器 示波器

簡(jiǎn)易波形發(fā)生器 (限MSP430、STM32單片機(jī))任務(wù)要求:制作一個(gè)簡(jiǎn)易波形發(fā)生器,具有如下功能:1、能夠產(chǎn)生方波、正弦波,并可通過(guò)示波器觀察到;(30分)2、可通過(guò)按鍵切換2種波形的產(chǎn)生;(20
2021-11-19 17:21:0315

泰克任意/波形函數(shù)發(fā)生器的常用功能

需求,讓它物有所值? 嵌入式和半導(dǎo)體測(cè)試應(yīng)用時(shí)鐘源典型應(yīng)用:確定頻率余量:測(cè)試數(shù)字電路的時(shí)鐘頻率工作范圍。代替不可用的時(shí)鐘源,進(jìn)行器件功能測(cè)試。用戶:開(kāi)發(fā)嵌入式和數(shù)字通信電路的測(cè)試和設(shè)計(jì)工程師。使用任意波形/函數(shù)發(fā)生器
2022-03-28 16:52:012392

波形信號(hào)發(fā)生器設(shè)計(jì)原理分析

基于CPLD的多波形信號(hào)發(fā)生器實(shí)現(xiàn)了各種波形的產(chǎn)生,尤其是實(shí)現(xiàn)了傳統(tǒng)的函數(shù)信號(hào)發(fā)生器不具有的一些波形的產(chǎn)生。
2022-06-20 17:35:295445

任意波形發(fā)生器介紹

隨著科技和行業(yè)的進(jìn)步,越來(lái)越多的專業(yè)用戶對(duì)波形發(fā)生器的頻率、波形和精度提出了更高的需求。函數(shù)發(fā)生器由于其架構(gòu)靈活性的限制,逐漸不能滿足日益增長(zhǎng)的需求。在這樣的背景下,任意波形發(fā)生器(AWG)作為一種
2023-02-01 14:07:50571

proteus波形發(fā)生器怎么用 proteus里怎么找超聲波發(fā)射器

Proteus中的函數(shù)發(fā)生器是一種簡(jiǎn)單的波形發(fā)生器,適用于一些基本功能的測(cè)試和調(diào)試,但在一些特殊場(chǎng)合下可能無(wú)法滿足需求。如果需要更高精度和更多功能的波形發(fā)生器,可以考慮使用專業(yè)的波形發(fā)生器設(shè)備或基于DSP/FPGA的數(shù)字波形發(fā)生器等。
2023-04-19 15:51:5910033

泰克AFG3101C任意波形發(fā)生器

。單次波形需要內(nèi)部或外部觸發(fā)源,而重復(fù)波形則不需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行。 1 個(gè)頻道 100 MHz 正弦波 14 位,2 到 16
2023-06-05 17:26:13151

Agilent安捷倫33621A任意波形發(fā)生器

則不需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行 120 兆赫,1 通道 高達(dá) 100 MHz 的脈沖 1 GSa/s 采樣率 14 位幅度分辨率
2023-06-06 15:21:29649

Agilent安捷倫33120A任意波形發(fā)生器

需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行 15 MHz 正弦波和方波 斜坡、三角形、噪聲、sin(x)/x、指數(shù)上升、指數(shù)下降、心臟、直流電壓 12 位、40 MSa/s、16,000 點(diǎn)任意波形 AM(內(nèi)部/外部)、FM(內(nèi)部)、FSK(內(nèi)
2023-06-06 15:36:34521

AFG3101C任意波形發(fā)生器

。單次波形需要內(nèi)部或外部觸發(fā)源,而重復(fù)波形則不需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行。 1 個(gè)頻道 100 MHz 正弦波 14 位,2 到 16
2023-06-28 14:12:16243

33120A任意波形發(fā)生器15MHz

需要。將波形發(fā)生器產(chǎn)生的信號(hào)注入到設(shè)備中,以便在測(cè)試期間進(jìn)行分析、發(fā)現(xiàn)設(shè)備問(wèn)題或確認(rèn)正常運(yùn)行 15 MHz 正弦波和方波 斜坡、三角形、噪聲、sin(x)/x、指數(shù)上升、指數(shù)下降、心臟、直流電壓 12 位、40 MSa/s、16,000 點(diǎn)任意波形 AM(內(nèi)部/外部)、FM(內(nèi)部)、FSK(內(nèi)
2023-07-13 08:23:47296

關(guān)于波形發(fā)生器,你知道多少?

信號(hào)發(fā)生器系列波形發(fā)生器你知道多少?虹科信號(hào)發(fā)生器系列波形發(fā)生器波形發(fā)生器是用于產(chǎn)生各種形狀和頻率電信號(hào)波形的電子測(cè)量?jī)x器。根據(jù)用戶配置,可以輸出不同頻率、振幅、相位和波形的信號(hào)。波形發(fā)生器既可以
2023-08-05 08:07:411822

信號(hào)發(fā)生器的特點(diǎn) 信號(hào)發(fā)生器可以產(chǎn)生哪些波形?

信號(hào)發(fā)生器的特點(diǎn) 信號(hào)發(fā)生器可以產(chǎn)生哪些波形? 信號(hào)發(fā)生器是一種用于產(chǎn)生各種頻率、振幅和波形的電信號(hào)的設(shè)備。它是電子測(cè)試儀器中常用的一種,廣泛應(yīng)用于科研、生產(chǎn)和教學(xué)等領(lǐng)域。信號(hào)發(fā)生器具有以下幾個(gè)特點(diǎn)
2023-12-21 15:05:42504

任意波形發(fā)生器信號(hào)幅值和示波器不一致是什么原因

任意波形發(fā)生器(AWG)和示波器是兩種常用的信號(hào)源和測(cè)量工具,它們?cè)陔娮訙y(cè)量和測(cè)試中都發(fā)揮著重要的作用。
2024-01-29 17:14:15468

任意波形發(fā)生器示波器的雙通道有什么區(qū)別

任意波形發(fā)生器(AWG)和示波器的雙通道版本在功能和用途上有顯著的區(qū)別。
2024-01-29 17:20:07346

proteus波形發(fā)生器怎么找 proteus波形發(fā)生器叫什么

Proteus是一款常用的電子電路仿真軟件,它提供了各種元件和工具,方便工程師進(jìn)行電路設(shè)計(jì)、仿真和調(diào)試。其中,Proteus波形發(fā)生器是一種常見(jiàn)的模塊,可用于產(chǎn)生各種類型的波形。本文將詳細(xì)介紹
2024-02-23 16:49:46421

已全部加載完成