電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>制造/封裝>全球首個基于中芯國際FinFET N+1工藝的芯片通過測試

全球首個基于中芯國際FinFET N+1工藝的芯片通過測試

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

三星使用EUV成功完成5nm FinFET工藝開發(fā)

已經(jīng)完成,現(xiàn)在可以為客戶提供樣品。通過在其基于極紫外(EUV)的工藝產(chǎn)品中添加另一個尖端節(jié)點,三星再次證明了其在先進晶圓代工市場的領(lǐng)導(dǎo)地位。 與7nm相比,三星的5nm FinFET工藝技術(shù)將邏輯區(qū)域效率提高了25%,功耗降低了20%,性能提高了10%,從而使其能夠擁有更多創(chuàng)
2019-04-18 15:48:476010

中芯國際在國內(nèi)推出首個14nm FinFET工藝風(fēng)險產(chǎn)品

國內(nèi)半導(dǎo)體制造商中芯國際(SMIC)于8月8日宣布,已開始使用FinFET工藝生產(chǎn)半導(dǎo)體芯片,并計劃在2019年底前將其市場化。 這是該公司2019年第二季度財務(wù)報告中公布的內(nèi)容,其聯(lián)合首席執(zhí)行官
2019-08-20 09:25:016602

中國第一條FinFET生產(chǎn)線開始批量生產(chǎn)14 nm FinFET芯片

作為業(yè)界少數(shù)幾家加入FinFET俱樂部的公司,中芯國際已經(jīng)開始使用其14 nm FinFET制造技術(shù)批量生產(chǎn)芯片。該公司設(shè)法開發(fā)了依賴于此類晶體管的制造工藝。有點遺憾的是,中芯國際FinFET
2019-11-19 10:40:266858

聯(lián)電完成14nm制程FinFET結(jié)構(gòu)晶體管芯片流片

在Synopsys 的協(xié)助下,臺灣聯(lián)電(UMC)首款基于14nm制程及FinFET晶體管技術(shù)的測試芯片日前完成了流片。聯(lián)電公司早前曾宣布明年下半年有意啟動14nm 制程FinFET產(chǎn)品的制造,而這
2013-06-28 09:57:581023

三星10納米芯片制造工藝助力處理器升級

國際電子電路研討會大會(ISSCC)上,三星展示了采用10納米FinFET工藝技術(shù)制造的300mm晶圓,這表明三星10納米FinFET工藝技術(shù)最終基本定型。
2015-05-28 10:25:271715

幫你看懂已經(jīng)全面攻占iPhone的FinFET

與臺積電較勁,將10 奈米 FinFET 正式納入開發(fā)藍圖 、聯(lián)電攜 ARM,完成 14 奈米 FinFET 工藝測試。到底什么是FinFET?它的作用是什么?為什么讓這么多國際大廠趨之若騖呢?
2015-09-19 16:48:004522

三星被指盜取FinFET芯片專利技術(shù) 將被起訴

據(jù)外媒報道,三星電子被指侵犯了與鰭式場效應(yīng)晶體管(FinFET)制程工藝相關(guān)的專利,面臨訴訟。韓媒稱,韓國科學(xué)技術(shù)院(KAIST)計劃對三星提起訴訟,指控后者侵犯其FinFET專利。KAIST稱,他們開發(fā)了10納米FinFET工藝,但是三星竊取了這項技術(shù),并將其用于生產(chǎn)高通驍龍835芯片
2016-12-05 15:35:27725

中國半導(dǎo)體工藝落后國際大廠 談突破先了解FinFET和胡正明

日前,中科院微電子所集成電路先導(dǎo)工藝研發(fā)中心在下一代新型FinFET邏輯器件工藝研究上取得重要進展。微電子所殷華湘研究員的課題組利用低溫低阻NiPt硅化物在新型FOI FinFET上實現(xiàn)了全金屬
2017-01-13 09:27:373046

詳解先進的半導(dǎo)體工藝FinFET

FinFET稱為鰭式場效晶體管(FinField-EffectTransistor;FinFET)是一種新的互補式金氧半導(dǎo)體(CMOS)晶體管。
2017-02-04 10:30:2214159

FinFET存儲器的設(shè)計挑戰(zhàn)以及測試和修復(fù)方法

現(xiàn)在,隨著FinFET存儲器的出現(xiàn),需要克服更多的挑戰(zhàn)。這份白皮書涵蓋:FinFET存儲器帶來的新的設(shè)計復(fù)雜性、缺陷覆蓋和良率挑戰(zhàn);怎樣綜合測試算法以檢測和診斷FinFET存儲器具體缺陷;如何通過內(nèi)建自測試(BIST)基礎(chǔ)架構(gòu)與高效測試和維修能力的結(jié)合來幫助保證FinFET存儲器的高良率。
2016-09-30 13:48:242721

三星有望為現(xiàn)代提供固態(tài)電池;臺積電推出新一代晶圓級IPD技術(shù)…

5月14日, Cadence宣布基于中芯國際14nm工藝的10Gbps多協(xié)議PHY研發(fā)成功,這是行業(yè)首個SMIC FinFET工藝上有成功測試芯片的多協(xié)議SerDes PHY IP。
2020-05-15 09:32:165309

國際電子哨兵終端通過OpenHarmony兼容性測評

近日,深圳國際有限公司(以下簡稱“國際”)推出的電子哨兵終端順利通過OpenAtom OpenHarmony(以下簡稱“OpenHarmony”)3.1 Release版本兼容性測評,獲頒
2023-01-11 11:05:27

芯片封裝測試工藝教程教材資料

在高溫及壓力的作用下形成共晶合金,實現(xiàn)連接及固定的方法。樹脂粘接:芯片背面及載體之間,通過含有大量Ag顆粒的環(huán)氧樹脂作為粘著劑,而達到固定的作用方法。膠帶粘接:芯片表面與載體之間通過膠帶的粘接,達到固定的作業(yè)方法。芯片封裝測試工藝教程教材資料[hide][/hide]
2012-01-13 14:46:21

芯片的3D化歷程

正在從二維走向三維世界——芯片設(shè)計、芯片封裝等環(huán)節(jié)都在向3D結(jié)構(gòu)靠攏。晶體管架構(gòu)發(fā)生了改變當(dāng)先進工藝從28nm向22nm發(fā)展的過程,晶體管的結(jié)構(gòu)發(fā)生了變化——傳統(tǒng)的平面型晶體管技術(shù)(包括體硅技術(shù)
2020-03-19 14:04:57

AN1N芯片

`請問這顆5腳芯片 絲印AN1N是什么芯片`
2019-08-02 15:57:07

GF退出7納米大戰(zhàn) 三國鼎立下中國路在何方

`7納米芯片一直被視為芯片業(yè)“皇冠上的珍珠”,令全球芯片企業(yè)趨之若鶩。在大家熱火朝天地競相布局7納米工藝時,全球第二大的芯片大廠GlobalFoundries(格羅方德,格,以下簡稱GF)突然宣布
2018-09-05 14:38:53

HarmonyOS全球化設(shè)計指南

`產(chǎn)品和應(yīng)用要在全球發(fā)布,需考慮全球化流程,即“國際化”和“本地化”。國際化簡稱「i18n」,是一種趨同的設(shè)計方式,通過一種方案去滿足不同國家的需求。本地化簡稱「L10n」, 是針對各個國家的個性化
2020-09-24 17:21:49

MPS美國源/電源芯片

MPS美國源 MPS公司具有獨特的技術(shù)創(chuàng)新系統(tǒng),同時和客戶保持密切接觸,深度理解客戶和市場的需要,以在應(yīng)用設(shè)計、工藝上的優(yōu)勢,用體積小、成本低、高性能的芯片為客戶提供最有價值的應(yīng)用方案。 MPS
2015-08-26 12:16:55

V-Key:全球首個虛擬安全元件V-OS獲得iOS和Android通用準則EAL3+認證 精選資料分享

基于軟件的數(shù)字安全全球領(lǐng)導(dǎo)者V-Key宣布,V-OS成為首個在Apple iOS和Google Android手機均獲得通用準則EAL3+認證的虛擬安全元件。由于V-OS是所有V-Key產(chǎn)品
2021-07-26 07:33:33

[轉(zhuǎn)]臺積電借16nm FinFET Plus及InFO WLP 通吃英特爾蘋果

蘋果晶圓代工龍頭臺積電16納米鰭式場效晶體管升級版(FinFET Plus)將在明年1月全產(chǎn)能量產(chǎn),搭配整合型扇出晶圓尺寸封裝(InFO WLP)的系統(tǒng)級封裝(SiP)技術(shù),在x86及ARM架構(gòu)64位
2014-05-07 15:30:16

【AD新聞】競爭激烈!臺積電搶高通芯片訂單

了高通的訂單。之后,國際憑借極具競爭力的價格從Globalfoundries手中奪走了訂單,成為高通電源管理芯片的主要合作伙伴。我們知道,在高通的幫助下,國際實現(xiàn)了28nm工藝量產(chǎn),而且還加快14nm硅片的量產(chǎn)。由于產(chǎn)能、價格及新芯片技術(shù)的原因,此次高通將電源管理芯片交給了臺積電生產(chǎn)。
2017-09-27 09:13:24

一眼看透中國半導(dǎo)體行業(yè)的真實水平

14nm工藝量產(chǎn)的計劃,國際是在今年下半年量產(chǎn),華虹是將在2020年量產(chǎn),但制程工藝確實是要比臺積電等公司落后兩代以上。在芯片制造領(lǐng)域制約我國晶圓代工企業(yè)發(fā)展的,主要是兩大部件:“刻蝕機”與“光刻機
2019-08-10 14:36:57

利用N+1個I/O口實現(xiàn)N×N矩陣式鍵盤

輸入,這就要求一種新的鍵盤結(jié)構(gòu),即用盡量少的I/O口實現(xiàn)盡可能多的鍵盤輸入。   本文將從硬件和軟件兩個方面介紹一種用N+1個I/O口實現(xiàn)N×N矩陣式鍵盤的方法(為了與傳統(tǒng)鍵盤區(qū)分,以下簡稱新型鍵盤
2012-02-15 22:02:49

北極雄開發(fā)的首款基于Chiplet異構(gòu)集成的智能處理芯片“啟明930”

開發(fā)的首款基于Chiplet異構(gòu)集成的智能處理芯片,該芯片采用12nm工藝生產(chǎn),HUB Chiplet采用RISC-V CPU核心,可通過靈活搭載多個NPU Side Die提供8~20TOPS
2023-02-21 13:58:08

各類常用工藝庫臺積電,國際,華潤上華

各類常用工藝庫臺積電,國際,華潤上華
2015-12-17 19:52:34

回收EUTECH芯片 回收EUPEC芯片

購買單的總代價為 1201598880 美元。這部分主要為 duv 光刻機。今日,據(jù)財聯(lián)社,透露,正在努力重新獲得訂單,是其 14nm finfet 工藝訂單。(it之家注:finfet 工藝是指鰭
2021-07-19 15:09:42

基于FinFET IP的數(shù)據(jù)轉(zhuǎn)換器設(shè)計

工藝技術(shù)的演進遵循摩爾定律,這是這些產(chǎn)品得以上市的主要促成因素。對整個行業(yè)來說,從基于大體積平面晶體管向FinFET三維晶體管的過渡是一個重要里程碑。這一過渡促使工藝技術(shù)經(jīng)過了幾代的持續(xù)演進,并且減小
2019-07-17 06:21:02

如何使用模擬開關(guān)實現(xiàn)T1/E1/J1, N+1冗余保護?

利用模擬開關(guān)實現(xiàn)T1E1J1N+1冗余
2019-04-03 10:36:30

如何對芯片進行檢測

給出好或是壞的測試結(jié)果(即此結(jié)果與被測試芯片實際的好壞無關(guān))。   給出所有芯片測試結(jié)果,問哪些芯片是好芯片。 輸入格式   輸入數(shù)據(jù)第一行為一個整數(shù)n,表示芯片個數(shù)。   第二行到第n+1
2021-07-29 07:49:10

射頻芯片的成本功耗挑戰(zhàn)

)有限公司(以下簡稱“鼎”)都宣布推出采用CMOS工藝的TD-SCDMA射頻(RF)芯片,一舉彌補了中國TD-SCDMA產(chǎn)業(yè)鏈發(fā)展的短板。隨后,銳迪科宣布“推出全球首顆支持HSDPA的TD-SCDMA
2019-07-05 08:33:25

搜狗與新華社聯(lián)合發(fā)布全球首個站立式AI合成主播

式播報”,標志著“搜狗分身”技術(shù)再次取得突破?! ?018年互聯(lián)網(wǎng)大會期間,搜狗與新華社聯(lián)合發(fā)布的全球首個AI合成主播初次亮相,引起了全球傳媒業(yè)和人工智能領(lǐng)域的極大關(guān)注?! ∪齻€月來,首批入職新華社的一
2019-02-25 09:28:26

每日話題:美國點名警告國際,打壓風(fēng)暴是否會造成新危機?

也將受到美國的“毀滅性打擊”,并且點名要把國際搞到“關(guān)門”。眾所周知,美國近兩年對華為采取了一系列的類似行動,切斷了其在全球使用美國技術(shù)制造的芯片和其他電子產(chǎn)品的供應(yīng)。制裁最終也削弱了華為成功的移動和寬帶業(yè)務(wù)。對此,你有什么看法,對國際是否造成影響?
2022-03-11 10:34:37

活動預(yù)告|造新勢力,CW32系列MCU亮相 2023 IIC國際集成電路展覽會暨研討會

結(jié)合實時熱點、助力解決行業(yè)痛點難點,賦能產(chǎn)業(yè)融合發(fā)展,由全球電子技術(shù)領(lǐng)域知名媒體集團AspenCore主辦的2023國際集成電路展覽會暨研討會 (IIC Shanghai)將3月29日-30日在上海國際
2023-02-28 16:11:42

盤點2014年國半導(dǎo)體十大新聞事件

億元人民幣,只能說明是英特爾高瞻遠矚,提前布局,搶占先機。4、國際深圳廠投產(chǎn)、28nm試量產(chǎn)芯片制造進步快速國際集成電路有限公司12月17日宣布其在深圳的8英寸晶圓廠正式投產(chǎn)。根據(jù)計劃,
2015-01-13 15:48:21

銳成微宣布在22nm工藝上推出雙模藍牙射頻IP

2023年1月13日,知名物理IP提供商 銳成微(Actt) 宣布在22nm工藝上推出雙模藍牙射頻IP。近年來,隨著藍牙芯片各類應(yīng)用對功耗、靈敏度、計算性能、協(xié)議支持、成本的要求越來越高,22nm
2023-02-15 17:09:56

銳成微推出基于BCD工藝的三層光罩eFlash IP

實現(xiàn),比如電源管理芯片、電機驅(qū)動芯片、照明驅(qū)動芯片、快充/無線充芯片、BMS電池管理系統(tǒng)的模擬前端芯片等。BCD(Bipolar-CMOS-DEMOS)工藝通過集成高驅(qū)動能力的Bipolar器件、高
2023-03-03 16:42:42

魂遷光刻,夢繞芯片,國際終獲ASML大型光刻機 精選資料分享

EUV主要用于7nm及以下制程的芯片制造,光刻機作為集成電路制造中最關(guān)鍵的設(shè)備,對芯片制作工藝有著決定性的影響,被譽為“超精密制造技術(shù)皇冠上的明珠”,根據(jù)之前國際的公報,目...
2021-07-29 09:36:46

國產(chǎn)半導(dǎo)體黑馬誕生,地位僅次于國際,一年營收超60億

國際行業(yè)芯片驗證板
芯前沿發(fā)布于 2021-07-16 18:01:23

國際:能否成為“臺積電”?

國際臺積電
芯前沿發(fā)布于 2021-07-16 18:28:10

國際 發(fā)展進入新高度,一舉拿下兩個全球第一 科技 #厲害了我的國 #芯片

國際開發(fā)板行業(yè)芯片驗證板時事熱點
中國芯動向發(fā)布于 2022-06-09 09:59:22

國際 發(fā)展進入新高度,一舉拿下兩個全球第一 科技 #厲害了我的國 #芯片

國際開發(fā)板行業(yè)芯片驗證板時事熱點
中國芯動向發(fā)布于 2022-06-09 09:59:47

國際 發(fā)展進入新高度,一舉拿下兩個全球第一 科技 #厲害了我的國 #芯片

國際開發(fā)板行業(yè)芯片驗證板時事熱點
中國芯動向發(fā)布于 2022-06-09 10:00:13

國際發(fā)布Q2財報 喜報驚現(xiàn)預(yù)警信息

國際行業(yè)時事熱點行業(yè)資訊
電子發(fā)燒友網(wǎng)官方發(fā)布于 2022-08-12 18:27:30

荷蘭光刻機抵達國際生產(chǎn)車間#華為可以緩口氣了

國際光刻晶圓制造
小凡發(fā)布于 2022-09-25 10:24:41

重大利好!國際訂購12億美元光刻機!

國際光刻晶圓制造
小凡發(fā)布于 2022-09-25 19:58:14

從去年的國際到今年的龍芯,中國正在強勢崛起#芯片?#國際 #硬聲創(chuàng)作季

芯片國際中國龍芯3B
Hello,World!發(fā)布于 2022-10-05 12:47:06

芯片制程說的是什么_Finfet的原理#芯片制程 #Finfet #芯片制造

芯片FET芯片制造電廠FinFET
電廠運行娃發(fā)布于 2022-10-17 01:22:46

ARM與臺積電簽署新協(xié)議引入臺積電FinFET工藝

知名芯片設(shè)計廠商ARM公司日前與臺積電公司簽訂了一份為期多年的新協(xié)議,根據(jù)該協(xié)議,雙方將就使用臺積電的FinFET工藝制造下一代64bit ARM處理器產(chǎn)品方面進行合作。
2012-07-24 13:52:57911

Cadence采用FinFET技術(shù)流片14納米芯片

該14納米產(chǎn)品體系與芯片是ARM、Cadence與IBM之間在14納米及以上高級工藝節(jié)點上開發(fā)系統(tǒng)級芯片(SoC)多年努力的重要里程碑。使用FinFET技術(shù)以14納米標準設(shè)計的SoC能夠大幅降低功耗。 這
2012-11-16 14:35:551270

三星與Synopsys合作實現(xiàn)首次14納米FinFET成功流片

新思科技公司日前宣布:該公司與三星在FinFET技術(shù)上的多年合作已經(jīng)實現(xiàn)了一個關(guān)鍵性的里程碑,即采用三星的14LPE工藝成功實現(xiàn)了首款測試芯片的流片
2013-01-09 12:11:311062

三星宣布第2代14納米FinFET工藝技術(shù)投入量產(chǎn)

三星于2015年第一季度發(fā)布了半導(dǎo)體芯片行業(yè)首款采用14nmLPE (Low-Power Early) 工藝量產(chǎn)的Exynos 7 Octa處理器,成為FinFET邏輯制程上的行業(yè)引領(lǐng)者。
2016-01-15 17:12:47927

ARM攜手臺積電打造多核10納米FinFET測試芯片 推動前沿移動計算未來

  2016年5月19日,北京訊——ARM今日發(fā)布了首款采用臺積電公司(TSMC)10納米FinFET工藝技術(shù)的多核 64位 ARM?v8-A 處理器測試芯片。仿真基準檢驗結(jié)果顯示,相較于目前常用于多款頂尖智能手機計算芯片的16納米FinFET+工藝技術(shù),此測試芯片展現(xiàn)更佳運算能力與功耗表現(xiàn)。
2016-05-19 16:41:50662

中芯國際要研發(fā)更先進制程工藝 臺積電一員大將可能加入中芯國際

中芯國際全球芯片代工行業(yè)中的四大廠商之一。然而,目前,中芯國際投入量產(chǎn)的最先進的制程工藝是28納米PolySiON工藝。并且,中芯國際仍需對高端的28納米HKMG工藝繼續(xù)深入探究。 中芯國際全球
2017-04-26 10:05:11712

FinFET工藝的IP數(shù)據(jù)轉(zhuǎn)換器設(shè)計

  本文介紹設(shè)計人員如何采用針對FinFET工藝的IP而克服數(shù)據(jù)轉(zhuǎn)換器設(shè)計的挑戰(zhàn)。
2017-09-18 18:55:3317

4巨頭強強聯(lián)手合作開發(fā)7納米工藝CCIX測試芯片

賽靈思、Arm、Cadence和臺積公司今日宣布一項合作,將共同構(gòu)建首款基于臺積7納米FinFET工藝的支持芯片間緩存一致性(CCIX)的加速器測試芯片,并計劃在2018年交付
2017-09-23 10:32:124003

為什么這些公司都采用 7 納米工藝的 CCIX 測試芯片

賽靈思、Arm、Cadence和臺積公司今日宣布計劃在 2018 年交付 7 納米 FinFET 工藝芯片。這一測試芯片旨在從硅芯片層面證明 CCIX 能夠支持多核高性能 Arm CPU 和 FPGA 加速器實現(xiàn)一致性互聯(lián)。
2017-09-25 11:20:206826

淺析TSMC和FinFET工藝技術(shù)的Mentor解決方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工藝的認證。Nitro-SoCTM 布局和布線系統(tǒng)也通過了認證,可以支持 TSMC 的 12FFC 工藝技術(shù)。
2017-10-11 11:13:422372

什么是FinFET?FinFET的工作原理是什么?

在2011年初,英特爾公司推出了商業(yè)化的FinFET,使用在其22納米節(jié)點的工藝上[3]。從IntelCorei7-3770之后的22納米的處理器均使用了FinFET技術(shù)。由于FinFET具有
2018-07-18 13:49:00119524

英特爾2018年推7nm FinFET工藝的EyeQ4無人駕駛芯片

英特爾采用7nm FinFET工藝制成的EyeQ4無人駕駛芯片將會在明年上市,EyeQx芯片來自Mobileye,單顆芯片的TDP是5W。NVIDIA Xaiver會是她最強勁的對手。
2017-12-15 12:43:212862

中芯國際將在2018年下半年量產(chǎn)28nm HKC+工藝,2019年上半年開始試產(chǎn)14nm FinFET工藝

中芯國際聯(lián)席CEO梁孟松透露,中芯國際將在2018年下半年量產(chǎn)28nm HKC+工藝,2019年上半年開始試產(chǎn)14nm FinFET工藝,并藉此進入AI芯片領(lǐng)域。
2018-05-14 14:52:005009

Platform 中的多項工具已通過TSMC最新版5nm FinFET 和 7nm FinFET Plus 工藝的認證

。Mentor 的工具和 TSMC 的新工藝將協(xié)助雙方共同客戶更快地為高增長市場提供芯片創(chuàng)新。 TSMC 設(shè)計基礎(chǔ)架構(gòu)營銷部資深總監(jiān) Suk Lee 表示:“Mentor 通過提供更多功能和解決方案來支持我們最先進的工藝,持續(xù)為TSMC 生態(tài)系統(tǒng)帶來了了更高的價值。
2018-05-17 15:19:003391

Synopsys設(shè)計平臺獲得TSMC工藝認證_7-nm FinFET Plus工藝技術(shù)

Synopsys設(shè)計平臺用于高性能、高密度芯片設(shè)計 重點: Synopsys設(shè)計平臺獲得TSMC工藝認證,支持高性能7-nm FinFET Plus工藝技術(shù),已成功用于客戶的多個設(shè)計項目。 針對
2018-05-17 06:59:004461

中芯國際放大招-"14nm工藝晶圓2019年量產(chǎn)"

替換高清大圖 請點擊此處輸入圖片描述 中芯國際將在2018年下半年量產(chǎn)28nm HKC+工藝,2019年上半年開始試產(chǎn)14nm FinFET工藝,并借此進入...... 晶圓制造是目前芯片設(shè)計環(huán)節(jié)
2018-05-17 09:37:354975

嘉楠耘智發(fā)布全球首個7nm量產(chǎn)芯片!

在領(lǐng)先、變革、開放、合作的掌聲中,全球首個7nm量產(chǎn)芯片發(fā)布會落下帷幕。
2018-08-13 16:53:0818352

中芯國際在先進工藝制程上可望加快追趕海外企業(yè)的速度

梁孟松是臺積電前研發(fā)處長,是臺積電FinFET工藝的技術(shù)負責(zé)人,而FinFET工藝芯片制造工藝從28nm往20nm工藝以下演進的關(guān)鍵,2014年臺積電研發(fā)出16nm工藝之后因制程能效甚至不
2018-09-02 09:00:133310

中芯國際首個14nm工藝明年上半年量產(chǎn)

根據(jù)中芯國際之前的爆料,其14nm工藝良率已達95%,進展符合預(yù)期,已經(jīng)進入了客戶導(dǎo)入階段,正在進行驗證及IP設(shè)計。另外,中芯國際聯(lián)席CEO梁孟松宣布計劃在2019年上半年風(fēng)險試產(chǎn)14nm FinFET。
2018-11-11 10:03:304212

天津麒麟公開全球首個基于ARM64的云平臺軟件的SPEC測試結(jié)果

和KylinCloud銀河麒麟云平臺上的SPEC Cloud IaaS 2018測試結(jié)果。這是全球首個在ARM64平臺上通過SPEC測試并正式發(fā)布的結(jié)果。
2019-12-26 14:04:222720

中芯國際取得海思14納米FinFET工藝代工訂單

據(jù)中國臺灣消息報道,中國大陸芯片代工廠商中芯國際已經(jīng)從競爭對手臺積電手中,奪得華為旗下芯片企業(yè)海思半導(dǎo)體公司的14納米FinFET工藝芯片代工訂單。
2020-01-14 15:31:432677

中芯國際從臺積電手中奪得海思14納米FinFET工藝芯片代工訂單

關(guān)注半導(dǎo)體產(chǎn)業(yè)的臺灣《電子時報》(DigiTimes)1 月 13 日報道稱,中國大陸芯片代工廠商中芯國際擊敗臺積電,奪得華為旗下芯片企業(yè)海思半導(dǎo)體公司的 14 納米 FinFET 工藝芯片代工訂單。
2020-01-16 09:00:015094

頭牌兵中芯國際的追趕

今年 2 月份 2019 年 Q4 財季的財報會議上, 聯(lián)席 CEO 梁孟松博士公開了中芯國際 N+1、N+2 代工藝的情況,N+1 工藝相當(dāng)于臺積電的第一代 7nm 工藝,N+2 相當(dāng)于臺積電的 7nm+ 工藝。
2020-03-12 09:14:332804

中芯國際下一代主要節(jié)點N+1的投產(chǎn)計劃

去年,中芯國際表示將在四季度開啟基于 14nm FinFET 制程的量產(chǎn)芯片。同時,該公司也在努力開發(fā)下一代主要節(jié)點(N+1),宣稱具有可媲美 7nm 工藝的部分特性。
2020-03-24 13:45:342567

中芯國際官方解釋N+1工藝,預(yù)計第四季度有限量產(chǎn)

業(yè)界普遍認為,中芯國際N+1工藝相當(dāng)于臺積電第一代7nm工藝,N+2則相當(dāng)于臺積電7nm+,重點在提升性能,年底即可量產(chǎn)。
2020-03-24 17:09:1824612

中芯國際重點轉(zhuǎn)向N+1及N+2代FinFET工藝N+1工藝進入產(chǎn)品認證階段

國內(nèi)最大的晶圓代工廠中芯國際昨晚發(fā)布了2019年報,營收31.16億美元,公司擁有人應(yīng)占利潤為2.347億美元,同比增長75%。
2020-04-01 16:29:4822296

Cadence的10Gbps多協(xié)議PHY研發(fā)成功,可與控制器進行無縫對接

5月14日, Cadence宣布基于中芯國際14nm工藝的10Gbps多協(xié)議PHY研發(fā)成功,這是行業(yè)首個SMIC FinFET工藝上有成功測試芯片的多協(xié)議SerDes PHY IP。
2020-05-14 15:36:442619

中芯國際第二代FinFET N+1可望于2020小批量試產(chǎn)

稱,該公司的第一代FinFET 14nm工藝已于2019年第四季度量產(chǎn),第二代FinFET N+1工藝已經(jīng)進入客戶導(dǎo)入階段,可望于2020年底小批量試產(chǎn)。 按照這樣的時間表推測,中芯國際N+1工藝確實會在2021年規(guī)模量產(chǎn)。 N+1是中芯國際對其第二代先進工藝的代號,但從未明確
2020-09-26 10:11:392092

中芯國際N+1芯片可望于2021年量產(chǎn)

第一代FinFET 14納米已于2019年四季度量產(chǎn);第二代FinFET N+1已進入客戶導(dǎo)入階段,可望于2020年底小批量試產(chǎn)。 據(jù)集微網(wǎng)2月報道,在中芯國際2019第四季度財報會議上,梁孟松博士透露了中芯國際下一代N+1工藝的詳細數(shù)據(jù)。 梁孟松博士透露,中芯國際的下一代
2020-09-30 10:49:592771

中芯國際第二代FinFET N+1工藝已進入客戶導(dǎo)入階段

710A 芯片等進行代工。 對此,中芯國際回應(yīng)稱,公司的第一代FinFET 14nm工藝已于2019年第四季度量產(chǎn),第二代FinFET N+1工藝已經(jīng)進入客戶導(dǎo)入階段,有望于2020年底小批量試產(chǎn)。在沒有使用EUV光刻機的情況下,中芯國際實現(xiàn)了14nm以下的先進制造工藝,不能不說
2020-09-30 14:24:188395

芯聞精選:以防中芯國際被制裁,傳高通正在協(xié)調(diào)臺灣晶圓廠產(chǎn)能

9月21日消息,上周有投資者向中芯國際求證中芯關(guān)于下一代芯片量產(chǎn)消息,中芯國際回答表示,中芯國際第二代 FinFET N+1 已進入客戶導(dǎo)入階段,可望于 2020 年底進行小批量試產(chǎn)。
2020-10-12 09:42:551413

芯動科技助力 首款中芯國際N+1工藝芯片流片成功

據(jù)珠海特區(qū)報近日報道稱,中國領(lǐng)先的一站式IP和定制芯片領(lǐng)軍企業(yè)——芯動科技發(fā)布消息稱,該公司已完成全球首個基于中芯國際FinFET N+1先進工藝芯片流片和測試,所有IP全自主國產(chǎn),功能一次測試通過,為國產(chǎn)半導(dǎo)體生態(tài)鏈再立新功。
2020-10-12 09:46:186133

中芯國際:第二代FinFET N+1工藝有望于2020年底小批量試產(chǎn)

根據(jù)中芯國際聯(lián)席CEO梁孟松博此前公布的信息顯示,N+1工藝和現(xiàn)有的14nm工藝相比,性能提升了20%,功耗降低了57%,邏輯面積縮小了63%,SoC面積減少了55%。從邏輯面積縮小的數(shù)據(jù)來看,與7nm工藝相近。
2020-10-12 10:03:044881

芯動科技基于國產(chǎn)N+1工藝的NTO流片驗證成功

自2019年始,芯動在中芯N+1工藝尚待成熟的情況下,團隊全程攻堅克難,投入數(shù)千萬元設(shè)計優(yōu)化,率先完成NTO流片?;?b class="flag-6" style="color: red">N+1制程的首款芯片經(jīng)過數(shù)月多輪測試迭代,助力中芯國際突破N+1工藝良率瓶頸。
2020-10-12 11:11:566384

全自主國產(chǎn)芯片:所有IP全自主國產(chǎn),功能一次測試通過

我國一站式IP定制芯片企業(yè)芯動科技(INNOSILICON)近日宣布:已完成全球首個基于中芯國際FinFET N+1先進工藝芯片流片和測試,所有IP全自主國產(chǎn),功能一次測試通過。 芯動科技擁有自主
2020-10-13 17:33:192964

中芯國際FinFET N+1等效7nm,工藝芯片流片成功!

據(jù)消息,IP和定制芯片企業(yè)芯動科技已完成全球首個基于中芯國際FinFET N+1先進工藝芯片流片和測試,所有IP全自主國產(chǎn),功能一次性通過。 在半導(dǎo)體領(lǐng)域,芯片流片也就等同于試生產(chǎn),即設(shè)計完電路
2020-10-16 10:26:1112497

中芯國際的先進制程工藝再獲突破

作為中國大陸技術(shù)最先進、規(guī)模最大的晶圓代工企業(yè),中芯國際的制程工藝發(fā)展一直備受關(guān)注。歷經(jīng)20年,其制程工藝從0.18微米技術(shù)節(jié)點發(fā)展至如今的N+1工藝
2020-10-20 16:50:105947

國際測試委員會發(fā)布了國際首個智能超級計算機榜單

近日,國際測試委員會(BenchCouncil)在2020青島創(chuàng)新節(jié)期間舉辦的的智能計算機大會和芯片大會聯(lián)合主論壇上發(fā)布了國際首個智能超級計算機榜單HPC AI500。日本富士通公司奪得榜首,騰訊公司位列第四,中日美三國公司包攬榜單前九。
2020-11-03 14:41:541838

中芯國際的第二代FinFET已進入小量試產(chǎn)

,可望于2020年底小批量試產(chǎn)。 此外,中芯國際方面還重申,目前公司營運和采購如常。 其實去年11月就曾有消息稱,中芯國際已經(jīng)啟動了14nm FinFET工藝芯片的量產(chǎn),且計劃2019年年底前進行12nm FinFET的風(fēng)險試產(chǎn)。 按照中芯國際當(dāng)時在2019年Q3季度財報公布的情況,公司第一代FinFET
2020-12-04 18:08:151858

傳中芯國際國產(chǎn)芯片工藝已追上Intel

據(jù)科創(chuàng)板日報報道稱,中芯國際的第二代FinFET已進入小量試產(chǎn)。
2020-12-05 09:13:361519

中芯國際稱第二代FinFET已進入小量試產(chǎn)

2019 年四季度進入量產(chǎn),第二代 FinFET 已進入小量試產(chǎn)。 IT之家了解到,中芯國際于 2019 年實現(xiàn)了國內(nèi)最先進的 14nm 工藝制程量產(chǎn),并已為華為麒麟 710A 芯片等進行代工。 今年9月份,投資者向中芯國際求證中芯關(guān)于下一代芯片量產(chǎn)消息,中芯國際回答表示:中芯國際第二代
2020-12-07 11:23:372570

中芯國際:將于年底小批量試產(chǎn)第二代FinFET N+1芯片

據(jù)最新消息,我國芯片生產(chǎn)巨頭中芯國際在互動平臺公開表示,該司即將在2020年底小批量試產(chǎn)第二代FinFET N+1芯片,目前這一芯片已經(jīng)進入客戶導(dǎo)入階段。去年年底,中芯國際率先完成任務(wù),完成了第一代FinFET 14nm芯片的量產(chǎn)工作。
2020-12-08 15:41:092788

中芯國際不用EUV光刻就攻克了類7nm工藝

從中芯國際官網(wǎng)的介紹來看,該公司提到的最先進工藝還是14nm,接下來的是N+1、N+2工藝,但沒有指明具體的工藝節(jié)點。
2021-05-14 09:46:013193

元太科技宣布電子紙為全球首個獲得國際暗天協(xié)會認證的顯示技術(shù)

)認證,亦是全球首個榮獲國際暗天協(xié)會認證的顯示技術(shù)。E Ink電子紙的反射式顯示特性使其可通過環(huán)境光源顯示屏幕畫面,不自發(fā)光。在夜間觀看時,通過小型LED燈條即能照亮整個顯示屏幕,減少過度消耗可能干擾所處社區(qū)或環(huán)境的雜散光。 電子紙成為全球首個榮獲“國際黑暗天空協(xié)會”認證的顯示技術(shù) 隨著智慧城
2022-02-17 14:14:381416

IBM發(fā)布全球首個2nm芯片

作為全球頂級科研巨頭的IBM在這一領(lǐng)域有了新的突破,通過與AMD、三星等多家公司合作,推出了2nm的測試芯片,這可是全球首顆2nm芯片。
2022-06-24 09:33:491041

全球首個2nm芯片是哪個國家的

全球首個2nm芯片是哪個國家的?全球首個研發(fā)出2nm芯片的國家亦不是臺積電,也不是三星,更不是聯(lián)發(fā)科,而是來自美國的IBM。
2022-06-29 16:34:144184

全球首個2nm芯片是中國的嗎

全球首個2nm芯片是中國的嗎?全球首個2nm芯片并不是中國制造的,而是由來自美國的IBM公司制造的,全球首顆2nm芯片位于美國紐約州奧爾巴尼半導(dǎo)體研究機構(gòu)設(shè)計和生產(chǎn)。
2022-06-29 17:09:084040

IBM發(fā)布全球首個2納米芯片制造技術(shù)

2021年5月,IBM發(fā)布全球首個2納米芯片制造技術(shù),首顆2nm工藝芯片用EUV光刻機進行刻蝕在指甲大小的芯片上,集成了500億顆晶圓體,IBM通過與AMD、三星率先推出測試芯片,處于全球領(lǐng)先地位。
2022-07-04 09:21:412104

IBM已開發(fā)出全球首個2nm芯片

IBM宣布已開發(fā)出全球首個2nm工藝的半導(dǎo)體芯片,采用三層GAA環(huán)繞柵極晶體管技術(shù),首次使用底介電隔離通道,其潛在性能和電池續(xù)航能力都將得到巨大的提升。
2022-07-04 12:22:561068

智原科技推出支援多家晶圓廠FinFET工藝芯片后端設(shè)計服務(wù)

ASIC設(shè)計服務(wù)暨IP研發(fā)銷售廠商智原科技(Faraday Technology Corporation,TWSE: 3035)今日推出支援多家晶圓廠FinFET工藝芯片后端設(shè)計服務(wù)(design implementation service),由客戶指定制程(8納米、7納米、5納米及更先進工藝)及生產(chǎn)的晶圓廠。
2022-10-25 11:52:17724

臺積電3nm FinFET工藝

最小 Lg 是溝道柵極控制的函數(shù),例如從具有不受約束的溝道厚度的單柵極平面器件轉(zhuǎn)移到具有 3 個柵極圍繞薄溝道的 FinFET,從而實現(xiàn)更短的 Lg。FinFET 的柵極控制在鰭底部最弱,優(yōu)化至關(guān)重要。
2023-01-04 15:54:511488

FinFET工藝之self-heating概念介紹

當(dāng)做到FinFET工藝時才了解到這個名詞,在平面工藝時都沒有接觸SHE(self-heating effect)這個概念。為什么到FinFET下開始需要注意SHE的影響了呢?下面參考一些材料總結(jié)一下分享,如有不準確的地方請幫指正。
2023-12-07 09:25:09677

Dolphin Design發(fā)布首款12納米FinFET音頻測試芯片

且值此具有歷史意義的時刻,位于法國格勒諾布爾的行業(yè)領(lǐng)軍企業(yè)Dolphin Design,已于近期成功流片首款內(nèi)置先進音頻IP的12 nm FinFET測試芯片,這無疑是公司發(fā)展路上一座新的里程碑。
2024-02-22 15:53:11173

已全部加載完成