電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>制造/封裝>Dolphin Design宣布首款支持12納米FinFet技術(shù)的硅片成功流片

Dolphin Design宣布首款支持12納米FinFet技術(shù)的硅片成功流片

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

三星使用EUV成功完成5nm FinFET工藝開(kāi)發(fā)

16日,三星電子宣布在基于EUV的高級(jí)節(jié)點(diǎn)方面取得了重大進(jìn)展,包括7nm批量生產(chǎn)和6nm客戶流片,以及成功完成5nm FinFET工藝的開(kāi)發(fā)。 三星電子宣布其5納米(nm)FinFET工藝技術(shù)的開(kāi)發(fā)
2019-04-18 15:48:476010

協(xié)鑫集成12英寸大硅片成功試產(chǎn) 開(kāi)始交付客戶實(shí)驗(yàn)樣片

徐州鑫晶半導(dǎo)體12英寸大硅片長(zhǎng)晶產(chǎn)線于12月9日試產(chǎn)成功,近日已陸續(xù)向國(guó)內(nèi)和德國(guó)等多家客戶發(fā)送試驗(yàn)樣片。據(jù)悉,國(guó)家半導(dǎo)體大基金已開(kāi)展對(duì)該項(xiàng)目的盡職調(diào)查,鑫晶半導(dǎo)體12英寸大硅片項(xiàng)目有望入選大基金二期
2019-12-27 10:26:156668

Altera支持硅片融合實(shí)現(xiàn)的混合系統(tǒng)架構(gòu)

20nm硅片技術(shù)支持高密度設(shè)計(jì)和高功效系統(tǒng)的同時(shí)實(shí)現(xiàn)。它可以在單片管芯以及多芯片3D硅片器件中集成規(guī)模更大、更復(fù)雜的硅片功能。 多種系統(tǒng)功能,一種架構(gòu) 您經(jīng)過(guò)優(yōu)化的數(shù)字系
2012-09-07 10:43:09561

Synopsys提供基于FinFET技術(shù)的半導(dǎo)體設(shè)計(jì)綜合解決方案

新思科技公司(Synopsys)在過(guò)去五年多與行業(yè)領(lǐng)導(dǎo)者合作共同開(kāi)發(fā)了對(duì)FinFET技術(shù)支持,通過(guò)提供經(jīng)生產(chǎn)驗(yàn)證的設(shè)計(jì)工具與IP來(lái)推進(jìn)對(duì)FinFET技術(shù)的采用。
2013-02-19 10:42:54823

Rolith宣布安裝由SUSS MicroTec建造的第2代納米結(jié)構(gòu)原型工具

Rolith, Inc.,今天宣布成功安裝 由Rolith, Inc. 獨(dú)家授權(quán)SUSS MicroTec AG建造的第 2 代納米結(jié)構(gòu)原型工具 – RML-2 工具。此原型基于 Rolith, Inc. 開(kāi)發(fā)的具有顛覆性的納米光刻技術(shù)(滾動(dòng)掩模光刻 – RML(TM))。
2013-03-07 17:54:301014

FinFET技術(shù)大規(guī)模應(yīng)用水到渠成?沒(méi)那么簡(jiǎn)單

那么20納米的平面型晶體管還有市場(chǎng)價(jià)值么?這是一個(gè)很好的問(wèn)題,就在此時(shí),在2013年初,20nm的平面型晶體管技術(shù)將會(huì)全面投入生產(chǎn)而16納米/14納米 FinFET器件的量產(chǎn)還需要一到兩年,并且還有
2013-03-15 09:02:541989

ARM攜手Cadence推出首款TSMC16納米FinFET制程Cortex-A57 64位處理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作細(xì)節(jié),揭示其共同開(kāi)發(fā)首款基于臺(tái)積電16納米FinFET制程的ARM?Cortex?-A57處理器,實(shí)現(xiàn)對(duì)16納米性能和功耗縮小的承諾。
2013-04-07 13:46:441509

Cadence和臺(tái)積電加強(qiáng)合作,共同為16納米FinFET工藝技術(shù)開(kāi)發(fā)設(shè)計(jì)架構(gòu)

Cadence設(shè)計(jì)系統(tǒng)公司(Cadence Design Systems, Inc.)(納斯達(dá)克代碼:CDNS)今日宣布與TSMC簽訂了一項(xiàng)長(zhǎng)期合作協(xié)議,共同開(kāi)發(fā)16納米FinFET技術(shù),以其適用于
2013-04-09 11:00:05798

16納米來(lái)了!臺(tái)積電試產(chǎn)16nm FinFET Plus

昨日臺(tái)積電官方宣布,16nm FinFET Plus(簡(jiǎn)稱16FF+)工藝已經(jīng)開(kāi)始風(fēng)險(xiǎn)性試產(chǎn)。16FF+是標(biāo)準(zhǔn)的16nm FinFET的增強(qiáng)版本,同樣有立體晶體管技術(shù)在內(nèi),號(hào)稱可比20nm SoC平面工藝性能提升最多40%,或者同頻功耗降低最多50%。
2014-11-14 09:31:582127

中芯長(zhǎng)電14納米硅片凸塊量產(chǎn) 彎道超車新機(jī)遇?

中芯長(zhǎng)電半導(dǎo)體有限公司28日在江陰宣布正式開(kāi)始為美國(guó)高通公司提供14納米硅片凸塊量產(chǎn)加工。這標(biāo)志著中芯長(zhǎng)電成為中國(guó)大陸第一家進(jìn)入14納米先進(jìn)工藝技術(shù)節(jié)點(diǎn)產(chǎn)業(yè)鏈并實(shí)現(xiàn)量產(chǎn)的半導(dǎo)體公司。
2016-08-02 13:45:43975

GF技術(shù)長(zhǎng):7納米全球四強(qiáng)爭(zhēng)霸,10納米制程性價(jià)比不佳

我們的FinFET制程分為兩個(gè)世代,包括14納米和7納米。過(guò)去我們的14納米是和三星電子(Samsung Electronics)合作,在7納米上我們選擇不同技術(shù),加上收購(gòu)IBM資產(chǎn)后,我們的研發(fā)資源變廣,因此決定自己開(kāi)發(fā)7納米制程技術(shù)。
2016-11-03 09:17:281478

三星被指盜取FinFET芯片專利技術(shù) 將被起訴

據(jù)外媒報(bào)道,三星電子被指侵犯了與鰭式場(chǎng)效應(yīng)晶體管(FinFET)制程工藝相關(guān)的專利,面臨訴訟。韓媒稱,韓國(guó)科學(xué)技術(shù)院(KAIST)計(jì)劃對(duì)三星提起訴訟,指控后者侵犯其FinFET專利。KAIST稱,他們開(kāi)發(fā)了10納米FinFET工藝,但是三星竊取了這項(xiàng)技術(shù),并將其用于生產(chǎn)高通驍龍835芯片。
2016-12-05 15:35:27725

格芯為高性能應(yīng)用推出全新12納米 FinFET技術(shù)

12納米領(lǐng)先性能(12LP)的FinFET半導(dǎo)體制造工藝。該技術(shù)預(yù)計(jì)將提高當(dāng)前代14納米 FinFET產(chǎn)品的密度和性能,同時(shí)滿足從人工智能、虛擬現(xiàn)實(shí)到高端智能手機(jī)、網(wǎng)絡(luò)基礎(chǔ)設(shè)施等最具計(jì)算密集型處理需求的應(yīng)用。 這項(xiàng)全新的12LP技術(shù)與當(dāng)前市場(chǎng)上的16 /14納米 FinFET解決方案相比,電路密度提高
2017-09-25 16:12:368666

中芯攬三星電子、臺(tái)積電技術(shù)猛將梁孟松 拼14納米FinFET要2019年量產(chǎn)

制程進(jìn)度,共同執(zhí)行長(zhǎng)趙海軍表示,先進(jìn)制程14納米FinFET將于2019年量產(chǎn),第二代28納米HKMG制程也會(huì)于2018年底問(wèn)世,外界都睜大眼睛等著檢視成績(jī)單。 中芯國(guó)際15日的線上法說(shuō)中,仍是由趙海軍主持會(huì)議,梁孟松僅簡(jiǎn)短發(fā)言,代表加入新團(tuán)隊(duì)后的首次現(xiàn)“聲”,也滿
2017-11-27 16:29:531345

中芯國(guó)際上半年?duì)I收17.22億美元 14納米FinFET技術(shù)獲重大進(jìn)展

8月30日,中芯國(guó)際發(fā)布2018年中期業(yè)績(jī),收入同比增長(zhǎng)11.5%至17.22億美元;毛利同比增長(zhǎng)5.6%至4.38億美元。中芯國(guó)際在14納米FinFET技術(shù)開(kāi)發(fā)上獲得重大進(jìn)展。中芯國(guó)際的第一代FinFET技術(shù)研發(fā)已進(jìn)入客戶導(dǎo)入階段。
2018-08-31 14:44:335140

國(guó)產(chǎn)芯突破,首款全自研7納米GPGPU芯片成功“點(diǎn)亮”

近日,上海天數(shù)智芯半導(dǎo)體有限公司宣布,公司旗艦7納米通用并行(GPGPU)云端計(jì)算芯片BI已于近日成功“點(diǎn)亮”。
2021-01-18 14:24:274667

2013年韓國(guó)首爾納米技術(shù)展NANO KOREA

納米技術(shù)研究會(huì)等中國(guó)代理:北海展覽媒體支持: 出國(guó)展覽網(wǎng) 韓國(guó)展覽網(wǎng)展會(huì)地點(diǎn):韓國(guó)首爾展會(huì)周期:一年一屆 展覽會(huì)概況:“2013年韓國(guó)首爾納米技術(shù)展NANO KOREA”將于2013年7月10-12
2013-02-24 13:52:34

12-90V輸入12V5V1.5A 2.1A輸出降壓恒壓芯片方案,支持輸出非隔離小體積

/1.5A ,12V/1A。6103同時(shí)支持輸出恒壓和輸出恒功能。通過(guò)設(shè)置CS 電阻可設(shè)置輸出恒值。通過(guò)設(shè)置FB1 的分壓電阻可設(shè)置輸出恒壓值,輸出電壓范圍從5V 到30V。6103采用固定頻率的PWM
2016-11-12 10:14:16

DOLPHIN-LP-EVM

KIT EVAL FOR DOLPHIN CHIPSET LP
2023-03-29 19:45:06

Finfet技術(shù)(3D晶體管)詳解

Finfet技術(shù)(3D晶體管)詳解
2012-08-19 10:46:17

硅片減薄技術(shù)研究

集成電路芯片不斷向高密度、高性能和輕薄短小方向發(fā)展,為滿足IC封裝要求,越來(lái)越多的薄芯片將會(huì)出現(xiàn)在封裝中。此外薄芯片可以提高器件在散熱、機(jī)械等方面的性能,降低功率器件的電阻。因此,硅片減薄的地位
2010-05-04 08:09:53

納米防水技術(shù)特點(diǎn)介紹(新技術(shù)

納米技術(shù)的在中國(guó)是一個(gè)新技術(shù),中國(guó)能做的就一兩家。納米防水技術(shù)要有特殊的設(shè)備,都要自我研發(fā),加納米材料,以及技術(shù)。應(yīng)用領(lǐng)域可滿足手機(jī)等消費(fèi)電子產(chǎn)品,服飾,登山鞋等紡織品以及醫(yī)療領(lǐng)域相關(guān)產(chǎn)品防水抗潮
2018-09-19 13:34:06

納米防水防潮技術(shù)解決(單片機(jī))偏光的痛點(diǎn)

溫高濕才要的。如果偏光四個(gè)側(cè)面鍍上納米防水防潮材料后,問(wèn)題可以解決。目前這個(gè)技術(shù)在不斷的推廣,也有人拿此申請(qǐng)了zl。如下圖:`
2018-09-29 09:17:30

納米技術(shù)在生活中的應(yīng)用

提到納米技術(shù),人們可能會(huì)覺(jué)得離自己好遠(yuǎn)。其實(shí)納米材料在幾個(gè)世紀(jì)前,就已經(jīng)在陶瓷釉和有色窗玻璃染色劑中使用。1990年代末以來(lái),納米技術(shù)越來(lái)越多的投入到應(yīng)用中?,F(xiàn)在,全球各地的科學(xué)家和工程師都在對(duì)這個(gè)
2021-08-31 08:13:56

納米技術(shù)的應(yīng)用領(lǐng)域

`納米防水技術(shù)還在推廣當(dāng)中。很多人沒(méi)接觸過(guò)。納米技術(shù)的防水、防潮,耐腐蝕。技術(shù)的應(yīng)用的比較廣,比如音響喇叭網(wǎng),容易吸潮,納米鍍膜后完全不會(huì)吸潮。對(duì)音質(zhì)測(cè)試完全無(wú)影響。藍(lán)牙耳機(jī)耐汗耐腐蝕。鞋子防水抗濺,莫高檔品牌已經(jīng)在做了。LED防水防潮等等。`
2018-09-21 15:26:09

載操作系統(tǒng)的直流伺服電機(jī)驅(qū)控芯片TMCC160相關(guān)資料分享

TRINAMIC直流伺服驅(qū)控芯片TMCC160助力快速、高效開(kāi)發(fā)伺服電機(jī)控制系統(tǒng)全球載系統(tǒng)直流伺服電機(jī)驅(qū)控芯片TMCC160.TRINAMIC的TMCC160在一個(gè)12mm*17mm的芯片內(nèi)部
2021-06-28 09:19:19

集成希捷MACH.2?雙磁臂技術(shù)的硬盤希捷銀河將引入騰訊云數(shù)據(jù)中心

全球領(lǐng)先的存儲(chǔ)解決方案提供商希捷科技公司(NASDAQ:STX)宣布,攜手專業(yè)云計(jì)算服務(wù)商騰訊云將全球集成希捷MACH.2?雙磁臂技術(shù)的硬盤——希捷銀河(Exos)2X14企業(yè)級(jí)硬盤引入騰訊云數(shù)據(jù)中心。
2020-11-23 06:22:41

DC-DC降壓恒IC 解決方案 提供技術(shù)方案 技術(shù)支持

是一 PWM 工作模式,高效率、外圍簡(jiǎn)單、內(nèi)置功率管,適用于 12-80V 輸入的高精度降壓 LED 恒驅(qū)動(dòng)芯片。輸出最大功率可達(dá) 15W,最大電流 1.5A。AP29113 可實(shí)現(xiàn)全亮/半亮功能
2019-07-24 18:22:48

GF退出7納米大戰(zhàn) 三國(guó)鼎立下中國(guó)芯路在何方

技術(shù)開(kāi)發(fā)成功,同時(shí)透露會(huì)朝第二代的 FinFET 技術(shù)開(kāi)發(fā)。若***一舉朝 7 納米前進(jìn),將會(huì)成為全球第四家 7 納米技術(shù)供應(yīng)商,與英特爾、臺(tái)積電、三星分庭抗禮。同時(shí),華為海思的麒麟980也搶先發(fā)布,
2018-09-05 14:38:53

LTC1446/LTC1446L:全球采用SO-8封裝的雙12位DAC

DN131-LTC1446 / LTC1446L:全球采用SO-8封裝的雙12位DAC
2019-06-13 08:06:56

[轉(zhuǎn)]臺(tái)積電借16nm FinFET Plus及InFO WLP 通吃英特爾蘋果

1座支持20納米12英寸廠南科Fab14第5期已全產(chǎn)能投,第2座12英寸廠Fab14第6期將在7月正式進(jìn)入量產(chǎn),將成為臺(tái)積電第3季營(yíng)收挑戰(zhàn)2,000億元新高的重要?jiǎng)幽堋?臺(tái)積電原本計(jì)劃在今年底轉(zhuǎn)進(jìn)
2014-05-07 15:30:16

【AD新聞】英特爾解讀全球晶體管密度最高的制程工藝

“英特爾精尖制造日”活動(dòng)今天舉行,展示了英特爾制程工藝的多項(xiàng)重要進(jìn)展,包括:英特爾10納米制程功耗和性能的最新細(xì)節(jié),英特爾10納米FPGA的計(jì)劃,并宣布了業(yè)內(nèi)面向數(shù)據(jù)中心應(yīng)用的64層3D
2017-09-22 11:08:53

兩公司合作開(kāi)發(fā)納米管生物傳感器

  Nano-Proprietary旗下的Applied Nanotech公司與Funai Electric先進(jìn)應(yīng)用技術(shù)研究所日前宣布,雙方將針對(duì)一個(gè)研究項(xiàng)目進(jìn)行合作,共同開(kāi)發(fā)基于酶涂層碳納米
2018-11-19 15:20:44

中國(guó)廠商宣布全球面向開(kāi)發(fā)者的RISC-V筆記本電腦

鑒釋科技 (Xcalibyte) 宣布了ROMA ,聲稱是全球面向開(kāi)發(fā)者的 “原生” RISC-V 筆記本電腦,由 RISC-V International(非營(yíng)利性組織)領(lǐng)導(dǎo)
2022-07-06 10:19:10

信越8寸硅片,12硅片(拋光)可長(zhǎng)期銷售

`本公司可長(zhǎng)期銷售8寸,12寸拋光。8inch1.晶向(100);2.P型;3.電阻>1Ω;4.Notch(011);5.Thickness(725±25μm);6.Bare/Etched;聯(lián)系人:傅(137-3532-3169)`
2020-01-18 16:46:13

全球載系統(tǒng)BLDC/PMSM伺服控制芯片TMCC160

`全球載系統(tǒng)直流伺服電機(jī)驅(qū)控芯片TMCC160. TRINAMIC的TMCC160在一個(gè)12mm*17mm的芯片內(nèi)部集成了電機(jī)的預(yù)預(yù)驅(qū)動(dòng),微控制和操縱系統(tǒng)。高度集成的芯片只需要增加外部功率橋
2018-08-05 22:26:14

全球智慧眼核心技術(shù)智能家庭服務(wù)機(jī)器人

`在2015年3月11日至14日,第14屆中國(guó)家電博覽會(huì)將如期在上海新國(guó)際展覽中心舉行。塔米機(jī)器人有限公司將攜全球擁有智慧眼核心技術(shù)的第五代清潔機(jī)器人TM510和各種智能家庭服務(wù)機(jī)器人產(chǎn)品盛裝
2015-03-02 13:55:33

回收拋光、光刻、晶圓碎片、小方、牙簽料、藍(lán)膜片

TEL:***回收拋光、光刻、晶圓碎片、小方、牙簽料、藍(lán)膜片回收晶圓硅片回收/廢硅片回收/單晶硅片回收/多晶硅片回收/回收太陽(yáng)能電池/半導(dǎo)休硅片回收
2011-04-15 18:24:29

太陽(yáng)能硅片檢測(cè)技術(shù)--硅片的金字塔檢測(cè)-大平臺(tái)硅片檢測(cè)顯微鏡

太陽(yáng)能硅片檢測(cè)技術(shù)--硅片的金字塔檢測(cè)-大平臺(tái)硅片檢測(cè)顯微鏡一、簡(jiǎn)介:硅片檢測(cè)顯微鏡可以觀察到肉眼難觀測(cè)的位錯(cuò)、劃痕、崩邊等;還可以對(duì)硅片的雜質(zhì)、殘留物成分分析.雜質(zhì)包括: 顆粒、有機(jī)雜質(zhì)、無(wú)機(jī)雜質(zhì)
2011-03-21 16:27:08

太陽(yáng)能電池、IC級(jí)硅片,太陽(yáng)能電池、單晶硅片

廠家求購(gòu)廢硅片、碎硅片、廢晶圓、IC藍(lán)膜片、頭尾料 大量收購(gòu)單晶硅~多晶硅各種廢硅片,頭尾料,邊皮料,IC碎硅片,...
2010-10-31 13:58:27

展訊稱三星兩功能機(jī)使用其基帶芯片

)和E1263 Trios(GT-E1263B)已經(jīng)開(kāi)售?! C6530是行業(yè)內(nèi)40納米2.5G基帶芯片,采用尖端技術(shù)將基帶芯MAX3232EUE+T與射頻收發(fā)器集成于單芯片之上,不僅簡(jiǎn)化了設(shè)計(jì),且
2012-11-09 15:43:30

模擬數(shù)字信號(hào)Dolphin Smash 5.4版

模擬數(shù)字信號(hào)Dolphin Smash 5.4版  測(cè)試看看。
2009-10-28 15:05:23

瑞薩電子推出包括汽車級(jí)在內(nèi)的 10全新成功產(chǎn)品組合

2023 年 3 月 2 日,中國(guó)北京訊 - 全球半導(dǎo)體解決方案供應(yīng)商瑞薩電子(TSE:6723)今日宣布,推出10結(jié)合了瑞薩廣泛產(chǎn)品的全新“成功產(chǎn)品組合”——其中包括電動(dòng)汽車(EV)充電、儀表盤
2023-03-02 14:29:51

電子行業(yè)人士帶你入行之納米制程小白篇

的量子物理問(wèn)題。這個(gè)時(shí)候就要導(dǎo)入FinFET(Tri-Gate)來(lái)改善這個(gè)問(wèn)題。導(dǎo)入這項(xiàng)技術(shù)后,電晶體漏電的問(wèn)題就會(huì)減少(并非是完全消除)。此外,FinFET(Tri-Gate)還可以增加下層和Gate
2016-12-16 18:20:11

電子行業(yè)人士帶你入行之納米制程小白篇

的量子物理問(wèn)題。這個(gè)時(shí)候就要導(dǎo)入FinFET(Tri-Gate)來(lái)改善這個(gè)問(wèn)題。導(dǎo)入這項(xiàng)技術(shù)后,電晶體漏電的問(wèn)題就會(huì)減少(并非是完全消除)。此外,FinFET(Tri-Gate)還可以增加下層和Gate
2016-06-29 14:49:15

英特爾將在2014年推出14納米處理器芯片

`英特爾最近披露稱,它終于首次使用14納米加工技術(shù)制造成功試驗(yàn)的芯片電路。英特爾計(jì)劃在2013年使用14納米加工技術(shù)生產(chǎn)代號(hào)為“Broadwell”的處理器。英特爾北歐及比利時(shí)、荷蘭、盧森堡經(jīng)濟(jì)聯(lián)盟
2011-12-05 10:49:55

賈躍亭宣布破產(chǎn)重組完成;小米發(fā)布OLED電視;精選資料分享

今日看點(diǎn)?賈躍亭宣布破產(chǎn)重組完成:將補(bǔ)償樂(lè)視網(wǎng)股民,打工創(chuàng)業(yè)重啟人生? 快手推出最新游戲公會(huì)政策:主播+公會(huì)綜合分成比例升至62%? 小米發(fā)布OLED電視:定位高端旗艦,售價(jià)129...
2021-07-30 06:10:56

量產(chǎn)發(fā)布!國(guó)民技術(shù)車規(guī)級(jí)MCU N32A455上市

2023年2月20日,國(guó)民技術(shù)在深圳正式推出兼具通用性、硬件安全性和車規(guī)級(jí)高可靠性等優(yōu)勢(shì)特性的N32A455系列車規(guī)級(jí)MCU并宣布量產(chǎn)。這是繼N32S032車規(guī)級(jí)EAL5+安全芯片之后,國(guó)民技術(shù)發(fā)布
2023-02-20 17:44:27

高價(jià)求購(gòu) IC芯片,藍(lán)膜片,白膜片,IC裸,IC晶圓,廢舊芯片,廢棄硅片,光刻,不良芯片等!

`高價(jià)求購(gòu)封裝測(cè)試廠淘汰廢的各種封裝后IC芯片 藍(lán)膜片 白膜片 IC裸,IC晶圓 廢舊芯片 廢棄硅片 不良芯片等,有貨或資源請(qǐng)聯(lián)系 ***(微信同號(hào))`
2016-01-10 16:46:25

硅片厚度測(cè)試儀

產(chǎn)品詳情 PTT-03A硅片厚度測(cè)試儀產(chǎn)品簡(jiǎn)介PTT-03A薄膜厚度測(cè)試儀是一高精度接觸式薄膜、薄片厚度測(cè)量?jī)x器;適用于金屬、塑料薄膜、薄片、紙張、 橡膠、電池隔膜、箔、無(wú)紡布
2023-11-27 14:51:47

霍尼韋爾發(fā)表Dolphin 6100和6500移動(dòng)數(shù)據(jù)終端

霍尼韋爾公司宣布推出兼容微軟Windows Embedded Handheld 6.5操作系統(tǒng)的Dolphin 6100和6500移動(dòng)數(shù)據(jù)終端
2011-05-12 08:54:24776

格羅方德半導(dǎo)體宣布為20納米設(shè)計(jì)流程提供支持

格羅方德半導(dǎo)體(GLOBALFOUNDRIES )日前宣布了該公司推進(jìn)尖端20納米的制造工藝走向市場(chǎng)的一項(xiàng)重大的進(jìn)展。羅格方德半導(dǎo)體利用電子設(shè)計(jì)自動(dòng)化(EDA)的先進(jìn)廠商如Cadence Design Systems、Magma De
2011-09-20 08:49:00711

14nm ARM成功流片,Cortex-A50還會(huì)遠(yuǎn)嗎?

  電子設(shè)計(jì)企業(yè)Cadence Design Systems, Inc.今天宣布,借助IBM FinFET晶體管技術(shù),已經(jīng)成功流片了14nm工藝的ARM Cortex-M0處理器試驗(yàn)芯片。
2012-11-01 09:11:031480

Cadence采用FinFET技術(shù)流片14納米芯片

該14納米產(chǎn)品體系與芯片是ARM、Cadence與IBM之間在14納米及以上高級(jí)工藝節(jié)點(diǎn)上開(kāi)發(fā)系統(tǒng)級(jí)芯片(SoC)多年努力的重要里程碑。使用FinFET技術(shù)以14納米標(biāo)準(zhǔn)設(shè)計(jì)的SoC能夠大幅降低功耗。 這
2012-11-16 14:35:551270

三星與Synopsys合作實(shí)現(xiàn)首次14納米FinFET成功流片

新思科技公司日前宣布:該公司與三星在FinFET技術(shù)上的多年合作已經(jīng)實(shí)現(xiàn)了一個(gè)關(guān)鍵性的里程碑,即采用三星的14LPE工藝成功實(shí)現(xiàn)了首款測(cè)試芯片的流片
2013-01-09 12:11:311062

Cadence宣布推出基于臺(tái)積電16納米FinFET制程DDR4 PHY IP

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)今天宣布,立即推出基于臺(tái)積電16納米FinFET制程的DDR4 PHY IP(知識(shí)產(chǎn)權(quán))。
2014-05-21 09:44:541769

臺(tái)積電采用Cadence的FinFET單元庫(kù)特性分析解決方案

全球知名電子設(shè)計(jì)創(chuàng)新領(lǐng)先公司Cadence設(shè)計(jì)系統(tǒng)公司 (NASDAQ: CDNS),今日宣布臺(tái)積電采用了Cadence?16納米FinFET單元庫(kù)特性分析解決方案。
2014-10-08 19:03:221594

Cadence為臺(tái)積電16納米FinFET+制程推出IP組合

美國(guó)加州圣何塞(2014年9月26日)-全球知名的電子設(shè)計(jì)創(chuàng)新領(lǐng)導(dǎo)者Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)今日宣布為臺(tái)積電16納米FinFET+ 制程推出一系列IP組合。
2014-10-08 19:19:22919

Synopsys Galaxy設(shè)計(jì)平臺(tái)支撐了90%的FinFET設(shè)計(jì)量產(chǎn)

美國(guó)加利福尼亞州山景城,2015年3月-- 新思科技公司(Synopsys, Inc.,納斯達(dá)克股票市場(chǎng)代碼:SNPS)日前宣布:其 Galaxy? Design Platform 設(shè)計(jì)平臺(tái)支撐
2015-04-01 16:42:271007

三星宣布第2代14納米FinFET工藝技術(shù)投入量產(chǎn)

三星于2015年第一季度發(fā)布了半導(dǎo)體芯片行業(yè)首款采用14nmLPE (Low-Power Early) 工藝量產(chǎn)的Exynos 7 Octa處理器,成為FinFET邏輯制程上的行業(yè)引領(lǐng)者。
2016-01-15 17:12:47927

ARM攜手臺(tái)積電打造多核10納米FinFET測(cè)試芯片 推動(dòng)前沿移動(dòng)計(jì)算未來(lái)

  2016年5月19日,北京訊——ARM今日發(fā)布了首款采用臺(tái)積電公司(TSMC)10納米FinFET工藝技術(shù)的多核 64位 ARM?v8-A 處理器測(cè)試芯片。仿真基準(zhǔn)檢驗(yàn)結(jié)果顯示,相較于目前常用于多款頂尖智能手機(jī)計(jì)算芯片的16納米FinFET+工藝技術(shù),此測(cè)試芯片展現(xiàn)更佳運(yùn)算能力與功耗表現(xiàn)。
2016-05-19 16:41:50662

中芯長(zhǎng)電將為高通提供14納米硅片凸塊量產(chǎn)加工

7月28日,中芯長(zhǎng)電半導(dǎo)體公司正式對(duì)外宣布,中國(guó)第一條專門針對(duì)12英寸高端芯片市場(chǎng)的bumping生產(chǎn)線成功建設(shè),目前已實(shí)現(xiàn)12英寸晶圓的單月大規(guī)模出貨。當(dāng)天,中芯長(zhǎng)電和美國(guó)高通公司共同宣布
2016-08-04 11:42:23854

格芯交付性能領(lǐng)先的7納米FinFET技術(shù)在即

加利福尼亞,圣克拉拉(2017年6月14日)—— 格芯今日宣布推出其具有7納米領(lǐng)先性能的(7LP)FinFET半導(dǎo)體技術(shù),其40%的跨越式性能提升將滿足諸如高端移動(dòng)處理器、云服務(wù)器和網(wǎng)絡(luò)基礎(chǔ)設(shè)施
2017-06-14 16:24:51877

4巨頭強(qiáng)強(qiáng)聯(lián)手合作開(kāi)發(fā)7納米工藝CCIX測(cè)試芯片

賽靈思、Arm、Cadence和臺(tái)積公司今日宣布一項(xiàng)合作,將共同構(gòu)建首款基于臺(tái)積7納米FinFET工藝的支持芯片間緩存一致性(CCIX)的加速器測(cè)試芯片,并計(jì)劃在2018年交付
2017-09-23 10:32:124003

淺析TSMC和FinFET工藝技術(shù)的Mentor解決方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工藝的認(rèn)證。Nitro-SoCTM 布局和布線系統(tǒng)也通過(guò)了認(rèn)證,可以支持 TSMC 的 12FFC 工藝技術(shù)。
2017-10-11 11:13:422372

什么是FinFETFinFET的工作原理是什么?

在2011年初,英特爾公司推出了商業(yè)化的FinFET,使用在其22納米節(jié)點(diǎn)的工藝上[3]。從IntelCorei7-3770之后的22納米的處理器均使用了FinFET技術(shù)。由于FinFET具有
2018-07-18 13:49:00119524

日本GMO公司宣布成功開(kāi)發(fā)12納米礦機(jī)芯片 用于下一代加密貨幣礦機(jī)

大型互聯(lián)網(wǎng)技術(shù)制造商、最近進(jìn)入虛擬貨幣挖礦硬件行業(yè)的日本 GMO 公司宣布,它已經(jīng)成功開(kāi)發(fā)出 12 納米 FFC 半導(dǎo)體芯片,用于下一代加密貨幣礦機(jī)。該公司稱此次創(chuàng)新是“邁向?qū)崿F(xiàn)7納米挖礦芯片處理技術(shù)的重大一步?!?/div>
2018-01-25 13:57:485509

聯(lián)發(fā)科宣布推出中端芯片Helio P22 采用臺(tái)積電12nm FinFET工藝打造

5月23日早間消息,聯(lián)發(fā)科宣布推出中端芯片Helio P22。Helio P22采用臺(tái)積電12nm FinFET工藝打造,CPU設(shè)計(jì)為8核A53,最高主頻2.0GHz。GPU采用PowerVR
2018-05-23 14:03:002271

中芯14納米FinFET制程良率達(dá)95%,預(yù)計(jì)2019量產(chǎn)

中芯國(guó)際最新的14納米FinFET制程已接近研發(fā)完成階段,其試產(chǎn)的良率已經(jīng)可以達(dá)到95%的水準(zhǔn),距離2019年正式量產(chǎn)的目標(biāo)似乎已經(jīng)不遠(yuǎn)
2018-07-06 15:23:523383

ANSYS宣布14納米FinFET制程技術(shù)獲聯(lián)電認(rèn)證

ANSYS宣布其ANSYS RedHawk和ANSYSR Totem獲聯(lián)華電子(UMC)的先進(jìn)14納米FinFET制程技術(shù)認(rèn)證。ANSYS和聯(lián)電透過(guò)認(rèn)證和完整套裝半導(dǎo)體設(shè)計(jì)解決方案,支援共同客戶滿足下一代行動(dòng)和高效能運(yùn)算(HPC)應(yīng)用不斷成長(zhǎng)的需求。
2018-07-17 16:46:003390

格芯退出7納米制程或?qū)е翴BM訂單轉(zhuǎn)交臺(tái)積電

晶圓代工大廠格芯在28日宣布,無(wú)限期停止7納米制程的投資與研發(fā),轉(zhuǎn)而專注現(xiàn)有14/12納米FinFET制程,及22/12納米FD-SOI制程。
2018-08-30 15:33:002110

GlobalFoundries宣布將暫停所有7納米FinFET技術(shù)的研發(fā)

格芯方面表示,他們正在重新部署具備領(lǐng)先優(yōu)勢(shì)的FinFET發(fā)展路線圖,以服務(wù)未來(lái)幾年采用該技術(shù)的下一波客戶。公司將相應(yīng)優(yōu)化開(kāi)發(fā)資源,讓14/12納米 FinFET平臺(tái)更為這些客戶所用,提供包括射頻、嵌入式存儲(chǔ)器和低功耗等一系列創(chuàng)新IP及功能。
2018-08-31 15:12:043042

格芯表示退出7納米制程不一定是壞事 12納米以下制程規(guī)模依然穩(wěn)固

先進(jìn)制程的研發(fā)令人有些惋惜,不過(guò)格芯倒是顯得穩(wěn)重、平和。日前舉行的GTC大會(huì),格芯還是強(qiáng)調(diào)先進(jìn)制程不是市場(chǎng)唯一方向,當(dāng)前旗下22納米FD-SOI制程,以及14/12納米FinFET制程依然大有市場(chǎng)。
2018-09-27 16:14:004321

全球四大硅片廠擴(kuò)產(chǎn)導(dǎo)致12硅片產(chǎn)能暴增,臺(tái)積電準(zhǔn)備大砍價(jià)

全球半導(dǎo)體產(chǎn)業(yè)警鐘大響,之前極度吃緊的原物料“硅片”(Wafer)恐從“掌上明珠”變成人人砍殺的“階下囚”。近期環(huán)球晶圓宣布斥資 4.28 億美元擴(kuò)產(chǎn) 12 寸產(chǎn)線,且傳出另 3 家硅片
2018-10-12 14:09:245419

新思科技Design Platform支持TSMC多裸晶芯片3D

關(guān)鍵詞:CoWoS , WoW , 先進(jìn)封裝 新思科技(Synopsys)宣布,新思科技Design Platform全面支持TSMC WoW直接堆疊和 CoWoS先進(jìn)封裝技術(shù)Design
2018-10-27 22:14:01346

格芯回應(yīng)為何擱置7納米FinFET項(xiàng)目

三個(gè)月前,晶圓代工大廠格芯突然宣布擱置7納米FinFET項(xiàng)目,業(yè)內(nèi)嘩然。在臺(tái)積電、三星等競(jìng)爭(zhēng)對(duì)手正在努力搶占7nm制程市場(chǎng)之時(shí),格芯為何作出此舉?放棄7nm制程后,格芯未來(lái)的路又將走向何方?這是業(yè)界關(guān)心的問(wèn)題。
2018-12-03 14:30:562838

中芯國(guó)際發(fā)布2018年第4季財(cái)報(bào)并表示12納米技術(shù)開(kāi)發(fā)也開(kāi)始有所突破

外,在技術(shù)研發(fā)方面,中芯國(guó)際表示,第一代 FinFET 14 納米技術(shù)進(jìn)入客戶驗(yàn)證階段,產(chǎn)品可靠度與良率已進(jìn)一步提升。同時(shí),12 納米技術(shù)開(kāi)發(fā)也開(kāi)始有所突破。
2019-02-18 17:03:103076

三星宣布已完成5納米FinFET工藝技術(shù)開(kāi)發(fā)

4月16日,三星官網(wǎng)發(fā)布新聞稿,宣布已經(jīng)完成5納米FinFET工藝技術(shù)開(kāi)發(fā),現(xiàn)已準(zhǔn)備好向客戶提供樣品。
2019-04-16 17:27:233008

新思宣布用于臺(tái)積電7納米制程技術(shù)的IP已獲得超過(guò)250個(gè)設(shè)計(jì)的選用

新思宣布,其用于臺(tái)積電7納米制程技術(shù)的DesignWare邏輯庫(kù)、嵌入式存儲(chǔ)器、界面和類比IP已獲得超過(guò)250個(gè)設(shè)計(jì)的選用(design wins),目前已經(jīng)有近30家半導(dǎo)體廠商選擇了新思7納米
2019-05-14 16:25:272862

臺(tái)積電宣布7納米強(qiáng)效版制程已大量進(jìn)入市場(chǎng) 2020年第一季將試產(chǎn)6納米制程技術(shù)

臺(tái)積電宣布,其領(lǐng)先業(yè)界導(dǎo)入極紫外光(EUV)微影技術(shù)的7納米強(qiáng)效版(N7+)制程已協(xié)助客戶產(chǎn)品大量進(jìn)入市場(chǎng)。導(dǎo)入EUV微影技術(shù)的N7+奠基于臺(tái)積電成功的7納米制程之上,也為明年首季試產(chǎn)6納米和更先進(jìn)制程奠定良好基礎(chǔ)。
2019-10-08 16:11:372955

格芯宣布與SiFive展開(kāi)合作 將合作研發(fā)12LP+FinFET解決方案

12LP+FinFET解決方案,以擴(kuò)展高性能DRAM。12LP+FinFET解決方案將提供2.5D封裝設(shè)計(jì)服務(wù),可加速人工智能(AI)應(yīng)用上市時(shí)間。
2019-11-06 15:59:552940

聯(lián)發(fā)科MediaTek S900量產(chǎn),支持8K高分辨率的智能電視芯片

MediaTek與臺(tái)積公司近日宣布,采用臺(tái)積公司12納米技術(shù)生產(chǎn)的業(yè)界首顆8K數(shù)字電視系統(tǒng)單芯片MediaTek S900已經(jīng)進(jìn)入量產(chǎn)?;陔p方緊密的合作關(guān)系,采用臺(tái)積公司低功耗12納米FinFET
2019-11-12 11:27:447048

聯(lián)華電子宣布22納米制程技術(shù)就緒 擁有更好的功率效能比以及強(qiáng)化射頻性能

聯(lián)華電子2日表示,在使用USB 2.0測(cè)試載具并成功通過(guò)硅驗(yàn)證之后,正式宣布更先進(jìn)的22納米制程技術(shù)就緒。
2019-12-03 15:40:342106

中芯國(guó)際從臺(tái)積電手中奪得海思14納米FinFET工藝芯片代工訂單

關(guān)注半導(dǎo)體產(chǎn)業(yè)的臺(tái)灣《電子時(shí)報(bào)》(DigiTimes)1 月 13 日?qǐng)?bào)道稱,中國(guó)大陸芯片代工廠商中芯國(guó)際擊敗臺(tái)積電,奪得華為旗下芯片企業(yè)海思半導(dǎo)體公司的 14 納米 FinFET 工藝芯片代工訂單。
2020-01-16 09:00:015094

臺(tái)積電將繼續(xù)采用FinFET晶體管技術(shù),有信心保持良好水平

臺(tái)積電3納米將繼續(xù)采取目前的FinFET晶體管技術(shù),這意味著臺(tái)積電確認(rèn)了3納米工藝并非FinFET技術(shù)的瓶頸,甚至還非常有自信能夠在相同的FinFET技術(shù)下,在3納米制程里取得水準(zhǔn)以上的良率。這也代表著臺(tái)積電的微縮技術(shù)遠(yuǎn)超過(guò)其他的芯片制造商。
2020-06-12 17:31:232929

微博宣布正式支持HDR視頻技術(shù),安卓手機(jī)無(wú)緣

近日,微博官方宣布,正式支持HDR(高動(dòng)態(tài)范圍)視頻技術(shù),用戶使用蘋果iPhone 12系列手機(jī)即可進(jìn)行HDR視頻的拍攝和上傳。
2020-11-06 12:23:002619

Aledia成功在硅晶圓上開(kāi)發(fā)Micro LED芯片

近日,法國(guó)3D GaN LED技術(shù)開(kāi)發(fā)商Aledia宣布成功12英寸(300mm)硅晶圓上生長(zhǎng)出業(yè)界首款納米線(nanowire)Micro LED芯片。
2020-12-17 17:16:51673

近期宣布新建12英寸晶圓廠和大硅片項(xiàng)目的廠商有哪些?

建設(shè)EUV生產(chǎn)線。相關(guān)建廠廠務(wù)工程及設(shè)備裝機(jī)需求將自2021下半年延續(xù)至2023年,這必將掀起一波設(shè)備、材料等的采購(gòu)熱潮,促進(jìn)產(chǎn)業(yè)鏈上相關(guān)企業(yè)的業(yè)績(jī)提升。 下面就來(lái)看一下近期宣布新建12英寸晶圓廠,以及12英寸大硅片項(xiàng)目的廠商。 臺(tái)積電300億美元砸向
2021-04-26 10:39:086488

三星正式宣布3nm成功流片,性能將完勝臺(tái)積電

據(jù)外媒最新報(bào)道,三星宣布,3nm制程技術(shù)已經(jīng)正式流片! 據(jù)悉,三星的3nm制程采用的是GAA架構(gòu),性能上完勝臺(tái)積電的3nm FinFET架構(gòu)! 據(jù)報(bào)導(dǎo),三星在3nm制程的流片進(jìn)度是與新思科技合作完成
2021-07-01 15:27:444315

智原宣布支持三星14納米LPP工藝的IP硅智財(cái)并已上架

ASIC設(shè)計(jì)服務(wù)暨IP研發(fā)銷售廠商智原科技(Faraday Technology Corporation,TWSE:3035)今日宣布支持三星14納米LPP工藝的IP硅智財(cái)已在三星SAFE IP平臺(tái)上架,提供三星晶圓廠客戶采用。
2022-10-14 17:39:021000

智原科技推出支援多家晶圓廠FinFET工藝的芯片后端設(shè)計(jì)服務(wù)

ASIC設(shè)計(jì)服務(wù)暨IP研發(fā)銷售廠商智原科技(Faraday Technology Corporation,TWSE: 3035)今日推出支援多家晶圓廠FinFET工藝的芯片后端設(shè)計(jì)服務(wù)(design implementation service),由客戶指定制程(8納米、7納米、5納米及更先進(jìn)工藝)及生產(chǎn)的晶圓廠。
2022-10-25 11:52:17724

三星首款12納米級(jí)DDR5 DRAM開(kāi)發(fā)成功

-三星電子新款DRAM將于2023年開(kāi)始量產(chǎn),以優(yōu)異的性能和更高的能效,推動(dòng)下一代計(jì)算、數(shù)據(jù)中心和AI應(yīng)用的發(fā)展 官方發(fā)布? ? 2022年12月21日,三星電子宣布,已成功開(kāi)發(fā)出其首款采用12納米
2022-12-21 11:08:29521

三星電子首款12納米級(jí)DDR5 DRAM開(kāi)發(fā)成功

-? 三星電子新款DRAM將于2023年開(kāi)始量產(chǎn),以優(yōu)異的性能和更高的能效,推動(dòng)下一代計(jì)算、數(shù)據(jù)中心和AI應(yīng)用的發(fā)展 中國(guó)深圳2022年12月21日 /美通社/ -- 三星電子宣布,已成功開(kāi)發(fā)
2022-12-21 21:19:54756

行業(yè)首創(chuàng)!恩智浦?jǐn)y手臺(tái)積電,推出汽車級(jí)16納米FinFET嵌入式MRAM

的新一代S32區(qū)域處理器和通用汽車MCU首批樣品 ? ? ? 了解詳情 ? ? 全球領(lǐng)先汽車處理企業(yè)恩智浦半導(dǎo)體宣布與臺(tái)積電合作交付行業(yè)首創(chuàng)的采用16納米FinFET技術(shù)的汽車嵌入式MRAM(磁隨機(jī)存儲(chǔ)器)。在向軟件定義汽車(SDV)的過(guò)渡中,汽車廠商需要在單個(gè)硬件平臺(tái)上支持多代軟件
2023-05-26 20:15:02396

Dolphin Design推出用于聲音分類的創(chuàng)新IP,可減少99%的功耗

2023年6月28日,格勒諾布爾。Dolphin Design是提供電源管理、音頻和處理器以及ASIC設(shè)計(jì)服務(wù)的半導(dǎo)體IP解決方案的領(lǐng)導(dǎo)者,今天宣布推出WhisperExtractor,這是一個(gè)改變
2023-07-06 10:28:19244

Cadence 數(shù)字、定制/模擬設(shè)計(jì)流程通過(guò)認(rèn)證,Design IP 現(xiàn)已支持 Intel 16 FinFET 制程

流程現(xiàn)已通過(guò) Intel 16 FinFET 工藝技術(shù)認(rèn)證,其 Design IP 現(xiàn)可支持 Intel Foundry Services(IFS)的此工藝節(jié)點(diǎn)。 與此同時(shí),Cadence 和 Intel 共同發(fā)布
2023-07-14 12:50:02381

Dolphin Design公司支持Orca公司直連衛(wèi)星通信射頻SoC設(shè)計(jì)

2023年8月3日,格勒諾布爾。提供電源管理、音頻和處理器等半導(dǎo)體IP解決方案及ASIC設(shè)計(jì)服務(wù)的領(lǐng)先企業(yè)Dolphin Design今天宣布,已與無(wú)晶圓廠半導(dǎo)體公司Orca Systems合作開(kāi)發(fā)
2023-08-04 12:06:37442

Dolphin Design發(fā)布首款12納米FinFET音頻測(cè)試芯片

且值此具有歷史意義的時(shí)刻,位于法國(guó)格勒諾布爾的行業(yè)領(lǐng)軍企業(yè)Dolphin Design,已于近期成功流片首款內(nèi)置先進(jìn)音頻IP的12 nm FinFET測(cè)試芯片,這無(wú)疑是公司發(fā)展路上一座新的里程碑。
2024-02-22 15:53:11173

2納米芯片的背面供電技術(shù)分析

在英特爾簡(jiǎn)化的工藝流程中(見(jiàn)圖 5),該工藝首先制造出鰭式場(chǎng)效應(yīng)晶體管(finFET)或全柵極晶體管,然后蝕刻納米硅片并填充鎢或其他低電阻金屬。
2024-02-28 11:45:25223

已全部加載完成