電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式新聞>電子發(fā)燒友網(wǎng)T恤衫展示 - “玩轉(zhuǎn)FPGA 賽靈思(xilinx)FPGA設(shè)計(jì)大賽”獲獎(jiǎng)獎(jiǎng)品展示

電子發(fā)燒友網(wǎng)T恤衫展示 - “玩轉(zhuǎn)FPGA 賽靈思(xilinx)FPGA設(shè)計(jì)大賽”獲獎(jiǎng)獎(jiǎng)品展示

上一頁1234全文
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

玩轉(zhuǎn)FPGA 賽靈思(xilinxFPGA設(shè)計(jì)大賽圓滿結(jié)束

電子發(fā)燒友網(wǎng)訊: 由 賽靈思(xilinx)公司 和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,賽靈思設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)旨在建立一個(gè)FPGA技能展示和技術(shù)交流平臺,鼓勵(lì)廣大
2012-09-06 11:27:216106

7系列FPGA芯片-的“雄韜偉略”

  的最新7系列FPGA芯片包括3個(gè)子系列,Artix-7、 Kintex-7和Virtex-7。在介紹芯片之前,先看看三個(gè)子系列芯片的介紹表,如下表1所示:  表1 全新Xilinx FPGA 7
2012-09-21 13:46:16

FPGA LX9 MicroBoard成為學(xué)習(xí)FPGA的另一低成本方法

發(fā)行很久了,現(xiàn)在機(jī)會(huì)來了。對你來說,使用Spartan-6 MicroBoard是一個(gè)完整的低成本的方法,可以熟悉Spartan-6 FPGA的開發(fā)。它使用同一個(gè)USB端口作為電源和JTAG編程
2017-02-10 17:12:21

FPGA就像是一張精密的畫布 - DSP 專家給你一個(gè)選擇 FPGA 的理由

基于16nm工藝的Zynq UltraScale + RFSoC 產(chǎn)品系列”。Xilinx 將高性能的 ADC/DAC 集成在 FPGA 中,這就相當(dāng)于 FPGA 不僅提供了精密的畫布還提供了華美
2018-08-10 09:16:48

FPGA就像是一張精密的畫布 - DSP 專家給你一個(gè)選擇 FPGA 的理由

工藝的Zynq UltraScale + RFSoC 產(chǎn)品系列”。Xilinx 將高性能的 ADC/DAC 集成在 FPGA 中,這就相當(dāng)于 FPGA 不僅提供了精密的畫布還提供了華美的邊框
2018-08-13 09:31:45

FPGA平臺在非傳統(tǒng)領(lǐng)域的應(yīng)用

“從絕對市場份額上看,傳統(tǒng)的通信市場仍是低成本FPGA的主要領(lǐng)域。但消費(fèi)電子和汽車應(yīng)用正在快速增長,低成本、低功耗、小體積的FPGA將為這些應(yīng)用提供更強(qiáng)的發(fā)展動(dòng)力。”公司(Xilinx)通用
2019-07-22 07:32:26

FPGA開發(fā)攻略-工程師創(chuàng)新應(yīng)用寶典技巧篇【下】

206.5 利用 EDK工具和IP設(shè)計(jì)多處理器SOC236.6 利用JTAG鏈進(jìn)行更為精確的系統(tǒng)級和芯片級功率分析和熱分析 276.7 識別和解決賽FPGA設(shè)計(jì)中的時(shí)序問題34第七章、FPGA設(shè)計(jì)百問 40第八章、FPGA開發(fā)資源總匯 78第九章、編委信息與后記 79第十章、版權(quán)聲明 80
2012-02-27 15:46:40

FPGA提供快速、簡單、零風(fēng)險(xiǎn)的成本降低方案

FPGA提供快速、簡單、零風(fēng)險(xiǎn)的成本降低方案 EasyPath-6 FPGA僅六周即可針對高性能Virtex-6 FPGA提供快速、簡單、零風(fēng)險(xiǎn)的成本降低方案公司 (Xilinx
2012-08-11 18:17:16

FPGAXilinx好,還是Altera好?

, 你是無法說好或者不好的。就像孩子看電影電視, 常常問:這個(gè)是好人還是壞人? 我們不能給出精確的結(jié)論。在FPGA市場領(lǐng)域, 公司是FPGA的發(fā)明者, 無晶圓代工模式的先鋒,也是積極把FPGA
2012-02-28 09:59:27

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開發(fā)板是altera的,但是很多人推薦說學(xué)習(xí)的好
2016-01-09 21:27:25

FPGA的發(fā)展現(xiàn)狀如何?

FPGA的發(fā)展現(xiàn)狀如何?推出的領(lǐng)域目標(biāo)設(shè)計(jì)平臺如何簡化設(shè)計(jì)、縮短開發(fā)時(shí)間?
2021-04-08 06:18:44

FPGA設(shè)計(jì)大賽

FPGA設(shè)計(jì)大賽FPGA大賽有什么關(guān)系嗎?分別是什么性質(zhì)的比賽,何時(shí)開始何時(shí)結(jié)束?只是在網(wǎng)上參與嗎?
2012-07-06 19:14:44

FPGA設(shè)計(jì)大賽獎(jiǎng)品介紹.iPad2+Xilinx Spartan-6開發(fā)板

`FPGA設(shè)計(jì)大賽獎(jiǎng)品.蘋果iPad2+Xilinx Spartan-6開發(fā)板 等你拿,FPGA發(fā)燒友、工程師們,心動(dòng)了么,那就趕快猛戳這里報(bào)名吧一等獎(jiǎng)獎(jiǎng)品:iPad2+Xilinx
2012-04-25 09:32:46

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)【工程師作品】

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn),DSP算法是很多工程師在設(shè)計(jì)過程中都會(huì)遇到的問題,本文將從FPGA設(shè)計(jì)的角度來講解浮點(diǎn)DSP算法的實(shí)現(xiàn)。FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)是工程師最新力作,資料不可多得,大家珍惜啊1FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)[hide][/hide]
2012-03-01 15:23:56

FPGA設(shè)計(jì)時(shí)序約束指南【工程師力作】

的一條或多條路徑。在 FPGA 設(shè)計(jì)中主要有四種類型的時(shí)序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。FPGA設(shè)計(jì)時(shí)序約束指南[hide][/hide]`
2012-03-01 15:08:40

Xilinx FPGA中文培訓(xùn)資料教程【免費(fèi)下載】

本帖最后由 eehome 于 2013-1-5 09:52 編輯 不可多得的Xilinx FPGA中文培訓(xùn)材料教程,涉及到virtel的基本架構(gòu)、設(shè)計(jì)流程、如何閱讀報(bào)告、時(shí)序約束等經(jīng)典
2012-03-02 09:51:53

XilinxFPGA技術(shù)及應(yīng)用線上公開課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術(shù)及應(yīng)用線上公開課。歡迎大家觀看、學(xué)習(xí)交流~分享主題【FPGA人工智能領(lǐng)域技術(shù)及應(yīng)用】嵌入式視覺領(lǐng)域技術(shù)和解決方案機(jī)器學(xué)習(xí)方面的技術(shù)和解決方案ADAS/自動(dòng)駕駛方面的應(yīng)用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請聯(lián)系
2019-01-21 19:31:40

Xilinx公司產(chǎn)品導(dǎo)購手冊

Xilinx公司產(chǎn)品導(dǎo)購手冊Xilinx()相關(guān)產(chǎn)品介紹? Virtex-6 FPGA(XC6V)? Virtex-5 FPGA(XC5V)? Virtex-II FPGA(XC2V
2012-02-28 16:43:31

XilinxFPGA硬件設(shè)計(jì)相關(guān)資料下載

FPGA最小系統(tǒng)說白了就是設(shè)計(jì)一個(gè)最小系統(tǒng),其可以作為日后一系列產(chǎn)品的基礎(chǔ),一是可以加快開發(fā)流程,二是可以降低開發(fā)難度,本次選用的芯片是Xilinx()的7系列(ARTIX)的FPGA
2021-11-11 07:39:20

玩轉(zhuǎn)FPGA xilinxFPGA設(shè)計(jì)大賽獲獎(jiǎng)名單?。?!

Spartan-6開發(fā)板  二等獎(jiǎng)獎(jiǎng)品Xilinx Spartan-6開發(fā)板  三等獎(jiǎng)獎(jiǎng)品: 電子發(fā)燒友T恤+小禮品  大賽獲獎(jiǎng)名單    一等獎(jiǎng)      姚佳毅(yjysdu)——車牌
2012-09-06 11:54:16

玩轉(zhuǎn)FPGA xilinxFPGA設(shè)計(jì)大賽圓滿結(jié)束

  電子發(fā)燒友網(wǎng)訊:由xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)旨在建立一個(gè)FPGA技能展示和技術(shù)交流平臺,鼓勵(lì)廣大參賽者發(fā)揮
2012-09-06 11:52:48

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽開賽啦

經(jīng)歷過和牛人一起進(jìn)行FPGA設(shè)計(jì)比賽的激烈競爭嗎?你感受過FPGA原廠開發(fā)板和fpga行業(yè)泰斗直接帶來的強(qiáng)烈震撼嗎? 沒經(jīng)歷過沒關(guān)系,電子發(fā)燒友網(wǎng)主辦,贊助的“FPGA方案開發(fā)設(shè)計(jì)大賽”已經(jīng)為
2012-04-23 09:31:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽活動(dòng)細(xì)則,參賽必看

7月21日—7月31日評選獲獎(jiǎng)作品,頒發(fā)獎(jiǎng)品大賽規(guī)則:報(bào)名參加賽FPGA設(shè)計(jì)大賽參賽者可任意選擇FPGA芯片型號作為參賽芯片進(jìn)行設(shè)計(jì)。設(shè)計(jì)作品的應(yīng)用領(lǐng)域不限制,可以任意選擇應(yīng)用領(lǐng)域。鼓勵(lì)
2012-04-24 14:40:58

玩轉(zhuǎn)FPGA設(shè)計(jì)大賽上線,開始接受報(bào)名

經(jīng)過準(zhǔn)備,"玩轉(zhuǎn)FPGA,超值開發(fā)板等你拿"設(shè)計(jì)大賽正式上線,現(xiàn)已開始接受報(bào)名.報(bào)名地址:http://www.ttokpm.com/activities/fpga/
2012-04-23 15:31:01

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開發(fā)7系列FPGA及Zynq?–7000 All Programmable SoC的評估套件Mini-Module Plus 用的電源模塊。安富利公司已經(jīng)開發(fā)出多款
2018-12-04 10:02:08

FPGA初學(xué)者 必備圖書 特權(quán)同學(xué)新書《勇敢的芯伴你玩轉(zhuǎn) FPGA

` 電子設(shè)計(jì)與嵌入式開發(fā)實(shí)踐叢書073303勇敢的芯伴你玩轉(zhuǎn)Xilinx FPGA吳厚航編著宣傳語:Xilinx大學(xué)計(jì)劃經(jīng)理作序推薦,Xilinx FPGA零基礎(chǔ)快速入門,基礎(chǔ)概念闡釋、板級
2017-11-27 12:23:53

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 一向是FPGA領(lǐng)域里的領(lǐng)先者,運(yùn)用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經(jīng)典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對DLP數(shù)字影院投影儀產(chǎn)生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數(shù)字影院投影儀產(chǎn)品,均采用了Virtex?-5 FPGA系列產(chǎn)品。
2019-08-19 07:12:03

FPGA設(shè)計(jì)大賽參賽者自評分表格下載

FPGA設(shè)計(jì)大賽參賽者自評分表格下載自評分表填寫指引:參賽者須于提交設(shè)計(jì)作品時(shí)一并呈交自評分表。每一個(gè)參賽作品最高可獲得10分自評分。請?jiān)谶m當(dāng)?shù)姆礁裆洗蚬?。參賽者作品自評分表格下載:[hide
2012-04-24 15:07:27

FPGA該怎么應(yīng)對內(nèi)窺鏡系統(tǒng)架構(gòu)的挑戰(zhàn)?

  什么是FPGA?如何幫助內(nèi)窺鏡制造商克服復(fù)雜的設(shè)計(jì)約束,生產(chǎn)出極具競爭優(yōu)勢的產(chǎn)品?如何幫助他們成功構(gòu)建外形小巧的低功耗內(nèi)窺鏡攝像頭、高性價(jià)比的攝像機(jī)控制單元(CCU),以及多功能、低成本的圖像管理設(shè)備?  
2019-09-17 06:31:55

fpga設(shè)計(jì)比賽火爆進(jìn)行中

fpga設(shè)計(jì)比賽于4月23日上線,得到了廣大電子工程師特別是fpga愛好者的大力關(guān)注和廣泛支持。本次大賽支持個(gè)人報(bào)名和團(tuán)體報(bào)名,其中團(tuán)隊(duì)報(bào)名數(shù)量達(dá)到了20個(gè)團(tuán)隊(duì)。還沒有參加比賽的電子工程師
2012-06-06 14:49:12

ISE? 設(shè)計(jì)套件11.1版對FPGA有什么優(yōu)化作用?

每一版本都提供了完整的FPGA設(shè)計(jì)流程,并且專門針對特定的用戶群體(工程師)和特定領(lǐng)域的設(shè)計(jì)方法及設(shè)計(jì)環(huán)境要求進(jìn)行了優(yōu)化。那大家知道ISE? 設(shè)計(jì)套件11.1版對FPGA有什么優(yōu)化作用嗎?
2019-07-30 06:52:50

Verilog(FPGACPLD)設(shè)計(jì)小技巧

Verilog(FPGACPLD)設(shè)計(jì)小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供參考時(shí)鐘電路圖

Virtex-6 HXT FPGA ML630評估套件采用SiTime電子發(fā)燒友振具體型號為:SIT9102AI-243N25E200.0000,而目前針對這一型號sitime推出了抖動(dòng)更低
2014-11-17 15:07:35

公司亞太區(qū)銷售與市場副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹(jǐn)代表公司與您分享一個(gè)激動(dòng)人心的喜訊: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量產(chǎn)了!該里程碑式信息的發(fā)布,不僅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自適應(yīng)和智能計(jì)算的全球領(lǐng)先企業(yè)公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,從而進(jìn)一步
2020-11-02 08:34:50

FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包

FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包
2018-05-24 17:51:38

高性能40nm Virtex-6 FPGA系列通過全生產(chǎn)驗(yàn)證

【來源】:《電子設(shè)計(jì)工程》2010年02期【摘要】:<正>公司與聯(lián)華電子共同宣布,采用聯(lián)華電子高性能40nm工藝的Virtex-6FPGA,已經(jīng)完全通過生產(chǎn)前的驗(yàn)證
2010-04-24 09:06:05

XILINX)全新7系列FPGA詳述

XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

Altera FPGA應(yīng)用創(chuàng)新博文大賽開始了!千元購物卡等你拿!

、Email、郵寄地址),以便及時(shí)確認(rèn)獲獎(jiǎng)信息并發(fā)放獎(jiǎng)品。3、報(bào)名成功后,加入 AlteraFPGA博文大賽群 277600472 ,相關(guān)大賽信息會(huì)在此群公布。評獎(jiǎng)規(guī)則 金獎(jiǎng)、銀獎(jiǎng)、銅獎(jiǎng)按照總評分?jǐn)?shù)來確定
2013-11-21 15:58:50

EIMKT求購Xilinx()微處理器 原裝現(xiàn)貨

。Xilinx()微處理器是全球領(lǐng)先的可編程邏輯完整解決方案的供應(yīng)商,具有廣泛的高級集成電路、軟件設(shè)計(jì)工具以及作為預(yù)定義系統(tǒng)級功能的IP核,其產(chǎn)品被廣泛運(yùn)用在無線電話基站、DVD播放機(jī)的數(shù)字電子應(yīng)用技術(shù)中
2019-10-18 11:46:45

”搶樓活動(dòng)第二輪,中獎(jiǎng)樓層公布!

://www.ttokpm.com/topic/xilinx/?ck=forum_QL);注:中獎(jiǎng)最終名單,將在觀看視頻時(shí)登記信息中進(jìn)行核對,核對成功后為搶樓成功;2、本次搶樓有15個(gè)幸運(yùn)樓層,該
2013-10-11 10:40:34

“看視頻 聊感悟 贏話費(fèi)”搶樓行動(dòng)現(xiàn)在開始!

#是:+感悟內(nèi)容,視為有效貼,否則視為無效,獎(jiǎng)品將向下一樓層順延;六、活動(dòng)規(guī)則:1、全論壇用戶均有參與資格,使用大量馬甲和刷帖機(jī)者除外。2、填寫本次搶樓活動(dòng)信息登記表并請認(rèn)真觀看研討會(huì)視頻;3
2013-09-11 19:01:57

“看視頻 聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!

一、活動(dòng)名稱:“研討會(huì)視頻點(diǎn)播”搶樓活動(dòng)二、活動(dòng)口號: “看視頻聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!三、活動(dòng)時(shí)間: 第1輪:9月12日—9月27日四、活動(dòng)禮品:10元話費(fèi)(移動(dòng)、聯(lián)通、電信
2013-09-11 18:53:20

【AD新聞】新CEO訪華繪藍(lán)圖,7nm ACAP平臺要讓CPU/GPU難企及

/GPU/FPGA三大領(lǐng)域的CEO 董事長Dennis Segers對Victor Peng的評價(jià)是,“Victor的獨(dú)特能力在于能夠?qū)⒃妇昂蛻?zhàn)略轉(zhuǎn)化為一流的執(zhí)行力。過去十年來,他一直是創(chuàng)新
2018-03-23 14:31:40

【PYNQ-Z2申請】基于PYNQ-Z2平臺的圖像實(shí)時(shí)力學(xué)測量

項(xiàng)目名稱:基于PYNQ-Z2平臺的圖像實(shí)時(shí)力學(xué)測量試用計(jì)劃:申請理由本人在圖像輔助力學(xué)測量領(lǐng)域有三年的研究經(jīng)驗(yàn),曾設(shè)計(jì)過類似基于光學(xué)及圖像的微納力學(xué)傳感器,想借助發(fā)燒友論壇和
2019-01-09 14:49:25

中際XilinxFPGA技術(shù)培訓(xùn)(ppt)

中際XilinxFPGA技術(shù)培訓(xùn)(ppt)
2012-08-02 22:52:22

為什么說已經(jīng)遠(yuǎn)遠(yuǎn)領(lǐng)先于Altera?

Altera和20年來都在FPGA這個(gè)窄眾市場激烈的競爭者,然而Peter Larson基于對兩個(gè)公司現(xiàn)金流折現(xiàn)法的研究表明,是目前FPGA市場的絕對領(lǐng)先者。
2019-09-02 06:04:21

什么是豐富目標(biāo)設(shè)計(jì)平臺?

今年年初,率先在FPGA領(lǐng)域提出目標(biāo)設(shè)計(jì)平臺概念,旨在通過選用開放的標(biāo)準(zhǔn)、通用的開發(fā)流程以及類似的設(shè)計(jì)環(huán)境,減少通用工作對設(shè)計(jì)人員時(shí)間的占用,確保他們能集中精力從事創(chuàng)新性的開發(fā)工作。
2019-08-13 07:27:15

全球FPGA市場現(xiàn)狀和發(fā)展前景展望

概念和特點(diǎn)比較簡單,沒有完全形成氣候。   :重點(diǎn)布局深耕中國市場 公司目前在中國內(nèi)地設(shè)有6家辦事處,公司很多項(xiàng)重要的區(qū)域性業(yè)務(wù)均以中國為基地。例如,亞太區(qū)技術(shù)支持中心設(shè)在上海。另外,針對
2023-11-08 17:19:01

參賽方式 點(diǎn)擊“

分+可用性15分 作品評選:總分100=基礎(chǔ)分45分+網(wǎng)友評分10分+參賽者自評分10分+技術(shù)評分35分 FPGA設(shè)計(jì)大賽詳細(xì)規(guī)則請移步:《玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽活動(dòng)細(xì)則》,參賽必看
2012-04-23 13:49:51

回收Xilinx芯片 收購芯片

回收Xilinx帶板芯片, 回收工廠XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顧Elecfans開放日之“跟安富利學(xué)FPGA的工業(yè)應(yīng)用“

Programmable技術(shù),助力智能工業(yè)系統(tǒng)”15:00-15:10 休息時(shí)間15:10-16:30 自由分享+主題討論16:30-17:00 結(jié)束【活動(dòng)獎(jiǎng)品黑色雙肩包,圓珠筆,筆記本【活動(dòng)咨詢】活動(dòng)咨詢
2013-11-01 13:48:38

FPGA中使用ARM及AMBA總線

國外的融合技術(shù)專家展示了一項(xiàng)基于FPGA的數(shù)據(jù)采集系統(tǒng),用于合成孔徑成像技術(shù)。采用了Xilinx ISE設(shè)計(jì)軟件,支持ARM AMBA AXI4接口。文風(fēng)犀利,觀點(diǎn)新穎,FPGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站運(yùn)動(dòng)控制

基于FPGA的EtherCAT主站總線控制 ,論壇有做運(yùn)動(dòng)控制這方面的技術(shù)嗎?目前我已實(shí)現(xiàn)帶32軸同步運(yùn)行,同步抖動(dòng)±75ns,控制精度125us。感興趣的可以一起探討下
2018-07-23 12:00:39

基于FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

作者:Nagesh Gupta 創(chuàng)始人兼 CEOAuviz Systems Nagesh@auvizsystems.com憑借出色的性能和功耗指標(biāo), FPGA 成為設(shè)計(jì)人員構(gòu)建卷積神經(jīng)網(wǎng)絡(luò)
2019-06-19 07:24:41

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何利用28納米工藝加速平臺開發(fā)?

全球可編程邏輯解決方案領(lǐng)導(dǎo)廠商公司 (Xilinx Inc.) 宣布,為推進(jìn)可編程勢在必行之必然趨勢,正對系統(tǒng)工程師在全球發(fā)布新一代可編程FPGA平臺。和前代產(chǎn)品相比,全新的平臺功耗降低
2019-08-09 07:27:00

成為Xilinx FPGA設(shè)計(jì)專家(基礎(chǔ)篇)

之前也一直在做關(guān)于Xilinx FPGA各個(gè)方面的文章,但是總體而言就顯得有些雜,總希望能有人能整理一下便于查閱;另外針對目前電子發(fā)燒友網(wǎng)舉辦的“玩轉(zhuǎn)FPGA:iPad2,開發(fā)板等你拿
2014-11-05 13:56:42

成為Xilinx FPGA設(shè)計(jì)專家(基礎(chǔ)篇)

針對目前電子發(fā)燒友網(wǎng)舉辦的“玩轉(zhuǎn)FPGA:iPad2,開發(fā)板等你拿”,小編在電話回訪過程中留意到有很多參賽選手對Xilinx 公司的FPGA及其設(shè)計(jì)流程不是很熟悉,所以想了想,最終還是決定自己
2014-11-03 17:15:51

提交FPGA設(shè)計(jì)方案,贏取FPGA開發(fā)板

/activities/fpga/全程參與比賽還有更多獎(jiǎng)品等你來拿:一等獎(jiǎng)獎(jiǎng)品:iPad2+Xilinx Spartan-6開發(fā)板 二等獎(jiǎng)獎(jiǎng)品Xilinx Spartan-6開發(fā)板 三等獎(jiǎng)獎(jiǎng)品:電子發(fā)燒友T恤+FPGA雜志/其他小獎(jiǎng)品
2012-07-06 17:24:41

的開發(fā)環(huán)境ISE軟件下載地址

剛開始學(xué)FPGA,求他的ISE軟件下載地址,我在網(wǎng)上沒搜到。謝謝了
2012-08-02 09:52:12

海量干貨分享!XDF(開發(fā)者大會(huì))北京站各分論壇演講資料公布

2018年 XDF (開發(fā)者大會(huì))北京站的全部演講內(nèi)容現(xiàn)已開放,現(xiàn)整理供大家下載學(xué)習(xí),以下是本屆 XDF 的各分論壇演講題目與資料。云端分論壇收斂 IO 加速平臺 - Xilinx
2019-01-03 15:19:42

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

請問FPGA的SoC將朝什么趨勢發(fā)展?

過去一年中,FPGA巨頭(Xilinx)在中國大舉構(gòu)建生態(tài)系統(tǒng),其速度和力度讓人吃驚。2006年末,公司董事會(huì)主席、總裁兼CEOWimRoelandts來華宣布了“促進(jìn)中國電子設(shè)計(jì)創(chuàng)新
2019-10-28 06:10:28

超詳細(xì)的FPGA芯片解讀 精選資料推薦

國內(nèi)超過100億元的FPGA市場中,國產(chǎn)市占率僅為4%。目前,全球FPGA市場基本被四大巨頭壟斷:Xilinx)、Intel(英特爾,此前收購了Altera)、Lattice(萊迪)、Mic...
2021-07-30 06:32:06

這顆是限制料還是翻新料?

絲印查不到系列型號,引腳數(shù)量也對不上所有型號規(guī)格,也沒有韓國產(chǎn)地
2023-02-24 17:01:32

選擇(Xilinx)FPGA 7系列芯片的N個(gè)理由

  電子發(fā)燒友網(wǎng)訊:FPGA 7系列芯片正以燎原之勢席卷整個(gè)行業(yè)。在本文,電子發(fā)燒友網(wǎng)小編將帶領(lǐng)大家一起走近XilinxFPGA 7系列芯片,從全新FPGA 7系列芯片的介紹、芯片優(yōu)點(diǎn)、芯片
2012-09-06 16:24:35

采用FPGA實(shí)現(xiàn)DisplayPort詳細(xì)教程【內(nèi)部資料】

一些芯片制造商已針對上述應(yīng)用推出了現(xiàn)成的標(biāo)準(zhǔn)發(fā)送器和接收機(jī),而推出了名為 Xilinx LogiCORETMDisplayPort v1.1(v1.2 將在 IDS 12.1中配套提供
2012-03-01 11:10:18

采用Xilinx FPGA加速機(jī)器學(xué)習(xí)應(yīng)用

全球領(lǐng)先的中文互聯(lián)網(wǎng)搜索引擎提供商百度正在采用FPGA加速其中國數(shù)據(jù)中心的機(jī)器學(xué)習(xí)應(yīng)用。兩家公司正合作進(jìn)一步擴(kuò)大FPGA加速平臺的部署規(guī)模。新興應(yīng)用的快速發(fā)展正日漸加重計(jì)算工作的負(fù)載,數(shù)據(jù)中心
2016-12-15 17:15:52

高價(jià)回收系列IC

高價(jià)回收系列IC長期回收系列IC,高價(jià)求購系列IC。深圳帝歐長期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

:“玩轉(zhuǎn)FPGA xilinxFPGA設(shè)計(jì)大賽獲獎(jiǎng)獎(jiǎng)品展示

  電子發(fā)燒友網(wǎng)訊:由xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)獲獎(jiǎng)名單已經(jīng)公布,詳見:玩轉(zhuǎn)FPGA xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA現(xiàn)場可編程邏輯器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封裝240-PQFP批次08+數(shù)量3500濕氣敏感性等級 (MSL)3(168 小時(shí))產(chǎn)品族嵌入式 - FPGA(現(xiàn)場可編程門陣列)系列Spartan?-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/

XC7A50T-1FGG484C FPGA可編程邏輯器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD對中國FPGA廠商有什么意義?

fpga
小凡發(fā)布于 2022-10-05 02:52:44

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)
2021-11-18 15:47:480

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)
2021-11-18 15:49:350

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)
2021-11-18 15:51:180

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)
2021-11-18 15:53:200

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)
2021-11-18 15:55:150

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)
2021-11-18 15:58:520

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)
2021-11-18 16:02:100

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)
2021-11-18 16:07:040

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)
2021-11-18 16:18:060

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)
2021-11-18 16:21:030

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)

設(shè)計(jì)參考書籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)
2021-11-18 16:24:510

已全部加載完成