電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>制造/封裝>半導(dǎo)體技術(shù)>半導(dǎo)體新聞>Intel/臺(tái)積電新制程頻出狀況 加速客戶(hù)分散

Intel/臺(tái)積電新制程頻出狀況 加速客戶(hù)分散

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

三星愿協(xié)助代工Intel旗下14nm制程處理器

就在Intel稍早以公開(kāi)信件說(shuō)明近期處理器產(chǎn)能受限,并且針對(duì)市場(chǎng)供貨短缺情況致歉,市場(chǎng)傳出三星將協(xié)助Intel生產(chǎn)處理器產(chǎn)品,借此緩解Intel在14nm制程處理器產(chǎn)能需求。 根據(jù)韓聯(lián)社引述消息來(lái)源
2019-11-29 09:36:413979

電子芯聞早報(bào):三星、臺(tái)積電、Intel 決戰(zhàn)10nm制程

FinFET制程技術(shù)量產(chǎn)階段的臺(tái)積電,也傳出將投入大量研發(fā)資金確保10nm制程技術(shù)發(fā)展進(jìn)度,預(yù)期將進(jìn)一步與三星抗衡,至于Intel方面也確定將在 2016年下半年間進(jìn)入10nm制程技術(shù)量產(chǎn)。
2015-05-28 10:23:16990

展訊8核芯片新制程 超車(chē)聯(lián)發(fā)科

 聯(lián)發(fā)科勁敵大陸展訊在母公司紫光集團(tuán)全力支援下,將跳過(guò)20奈米,直接使用臺(tái)積電的16奈米制程生產(chǎn)該公司最新4G八核晶片“whale 2”,本月完成設(shè)計(jì)定案(tape out),明年第2季量產(chǎn),展訊16奈米制程產(chǎn)品將“超車(chē)”聯(lián)發(fā)科,領(lǐng)先約一季。
2015-11-06 07:46:182030

Intel制程工藝一騎絕塵,領(lǐng)先三星和臺(tái)積電

后來(lái)進(jìn)入10nm級(jí),Intel制程工藝層面一騎絕塵,領(lǐng)先三星和臺(tái)積電一代以上。不過(guò),Intel 14nm FinFET大量都是用在自家生意上,畢竟作為芯片一哥,需求量驚人,另外就是為FPGA伙伴代工了。
2016-07-15 10:24:04957

Intel在美蓋新廠(chǎng) 強(qiáng)攻7nm制程

英特爾(Intel)執(zhí)行長(zhǎng)科再奇(Brian Krzanich)8日宣布,將在美國(guó)投資70億美元(約新臺(tái)幣2,170億元)完成位于亞利桑納州錢(qián)德勒(Chandler)的新廠(chǎng),創(chuàng)造3,000個(gè)就業(yè)機(jī)會(huì),并將強(qiáng)攻7奈米制程。
2017-02-10 08:00:53775

摩爾定律聲聲喚 CMP制程再精進(jìn)

半導(dǎo)體元件若要追上摩爾定律速度,微縮制程就需要更新的技術(shù)相挺。化學(xué)材料與電子產(chǎn)品間的關(guān)系密不可分,美商陶氏 化學(xué)旗下分公司陶氏電子材料的最新制程
2011-09-24 01:22:571075

新制程,新架構(gòu),英特爾12代酷睿牙膏擠爆

在10月28日的英特爾On創(chuàng)新技術(shù)峰會(huì)上,英特爾終于發(fā)布了12代酷睿處理器。12代酷睿首次采用了全新的Intel 7制程,產(chǎn)品家族總共包含60款處理器,500多種設(shè)計(jì),功耗范圍從9W到125W,全面
2021-10-29 09:36:425805

Intel Curie主要針對(duì)可穿戴產(chǎn)品

加速計(jì)和陀螺儀等傳感器。 Intel表示,Curie已經(jīng)獲得美國(guó)聯(lián)邦通信委員會(huì)(FCC)的認(rèn)證,預(yù)計(jì)將在2015年下半年正式發(fā)貨。
2019-07-15 05:55:50

Intel QAT加速卡邏輯實(shí)例有哪些

Intel QAT加速卡邏輯實(shí)例1. QAT相關(guān)的名詞組織關(guān)系在本手冊(cè)中描述的平臺(tái)上,處理器可以連接到一個(gè)或多個(gè)英特爾?通信芯片組8925至8955系列(PCH)設(shè)備。 從軟件角度來(lái)看,每個(gè)PCH
2021-07-16 08:16:52

Intel Unite:無(wú)法將客戶(hù)端連接到Unite服務(wù)器

我無(wú)法將客戶(hù)端連接到Intel unite服務(wù)器。我繞過(guò)了證書(shū)檢查和安裝服務(wù)器,服務(wù)器正在運(yùn)行并生成PIN。但是,當(dāng)我將客戶(hù)端連接到服務(wù)器時(shí),我收到此錯(cuò)誤:服務(wù)器證書(shū)不符合最低證書(shū)要求。以上
2018-11-09 11:20:11

臺(tái)電0.18工藝電源電壓分別是多少?

臺(tái)電0.18工藝電源電壓分別是多少?是1.8v跟3.3v嗎?
2021-06-25 06:32:37

臺(tái)電5nm架構(gòu)設(shè)計(jì)試產(chǎn)

臺(tái)電宣布5nm基本完工開(kāi)始試產(chǎn):面積縮小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

臺(tái)電或?qū)ⅰ蔼?dú)吞”A7大單

有機(jī)會(huì)“獨(dú)吞”A7代工訂單。  臺(tái)電作為全球規(guī)模最大的專(zhuān)業(yè)集成電路制造公司,其技術(shù)優(yōu)勢(shì)的領(lǐng)先,在業(yè)界可謂屈指可數(shù)。臺(tái)電積極開(kāi)發(fā)20納米制程,花旗環(huán)球證券指出,在技術(shù)領(lǐng)先MAX3232EUE+T優(yōu)勢(shì)下,未來(lái)1
2012-09-27 16:48:11

臺(tái)電量產(chǎn)安徽iPhone 8用大時(shí)代10nmA11芯片可靠嗎

制造技術(shù)為今年的10.5寸和12.9英寸iPad Pro制造A10X芯片。事實(shí)上,A10X是第一款采用該技術(shù)生產(chǎn)的芯片,盡管臺(tái)電還有其他客戶(hù)?! ∠啾戎拢琲Phone 7和7 Plus中使用的A10
2017-08-17 11:05:18

AI芯片可能只是FPGA的附庸

臺(tái)電有超過(guò)50%產(chǎn)能,已完全折舊、做成熟制程;而且五年折舊的新機(jī)器設(shè)備,約可使用十五年以上,這樣可提供足夠的現(xiàn)金流,來(lái)大量投資初期獲利較差的最先進(jìn)制程。而三星和英特爾因不具足夠晶圓客戶(hù),三星和英特爾
2018-07-31 09:56:50

ARM分散加載及應(yīng)用

從ARM ELF目標(biāo)文件主要構(gòu)成出發(fā),詳細(xì)介紹了分散加載的基本原理、分散加載文件的語(yǔ)法、分散加載時(shí)連接器生成的預(yù)定義符號(hào)及要重新實(shí)現(xiàn)的函數(shù)等;以定位目標(biāo)外設(shè)和定義超大型結(jié)構(gòu)體數(shù)組兩項(xiàng)應(yīng)用來(lái)加以說(shuō)明
2011-05-04 16:09:46

MLCC龍頭漲價(jià);車(chē)廠(chǎng)砍單芯片;臺(tái)電28nm設(shè)備訂單全部取消!

需求變化,臺(tái)電28nm設(shè)備訂單全部取消! 對(duì)于這一消息,臺(tái)電方面表示,相關(guān)制程技術(shù)與時(shí)間表依客戶(hù)需求及市場(chǎng)動(dòng)向而定,目前正處法說(shuō)會(huì)前緘默期,不便多做評(píng)論,將于法說(shuō)會(huì)說(shuō)明。 目前28nm工藝代工市場(chǎng)
2023-05-10 10:54:09

STM32國(guó)產(chǎn)替代,再來(lái)一波 精選資料分享

自秋季以來(lái),8英寸晶圓代工產(chǎn)能緊缺,報(bào)價(jià)調(diào)漲,MCU、MOS,TDDI,閃存,面板等電子元器件進(jìn)入了愈演愈烈的漲價(jià)模式。目前臺(tái)系臺(tái)電、聯(lián)電、世界先進(jìn)、力電等晶圓代工廠(chǎng)第四季訂單已經(jīng)全滿(mǎn),明年
2021-07-23 07:09:00

[轉(zhuǎn)]臺(tái)電借16nm FinFET Plus及InFO WLP 通吃英特爾蘋(píng)果

16納米FinFET制程,但因許多客戶(hù)認(rèn)為16納米FinFET與目前量產(chǎn)中的20納米SoC制程相較,效能及功耗上并無(wú)太明顯的差距,也因此,臺(tái)電加快腳步開(kāi)發(fā)出16納米FinFET Plus制程,除了可較
2014-05-07 15:30:16

Intel Edison申請(qǐng)】智能網(wǎng)關(guān)控制

,硬件組裝等。2,搭建開(kāi)發(fā)環(huán)境,安裝驅(qū)動(dòng),燒錄固件,讓系統(tǒng)運(yùn)行起來(lái)。搭建好串口控制臺(tái),方便調(diào)試打印。3,實(shí)現(xiàn)Intel Edison物聯(lián)網(wǎng)開(kāi)發(fā)套件的一些外圍功能。如,LED的亮滅,繼電器的控制,按鍵
2016-06-27 17:23:15

【AD新聞】百萬(wàn)片訂單大洗牌!臺(tái)電或成高通新一代PMIC芯片最大供應(yīng)商

技術(shù)實(shí)力成為該產(chǎn)品線(xiàn)的主力供應(yīng)商。 半導(dǎo)體業(yè)者指出,高通其實(shí)有意采取分散供應(yīng)商策略,希望找3家晶圓代工廠(chǎng)分食訂單,但臺(tái)電打算以先進(jìn)制程技術(shù)優(yōu)勢(shì)全面卡位,牢牢抓住高通PMIC 5芯片絕大多數(shù)的訂單
2017-09-22 11:11:12

【AD新聞】競(jìng)爭(zhēng)激烈!臺(tái)電中芯搶高通芯片訂單

據(jù)外媒報(bào)道,預(yù)計(jì)臺(tái)電將獲得高通新一代電源管理芯片(PWM IC)70%至80%的訂單。高通前一代電源管理芯片是由中芯國(guó)際(SMIC)生產(chǎn)的,后者在其8英寸晶圓廠(chǎng)使用0.18至0.153微米工藝來(lái)生
2017-09-27 09:13:24

【大聯(lián)大世平Intel?神經(jīng)計(jì)算棒NCS2試用申請(qǐng)】基于RK3399+Intel NCS2加速YOLO4目標(biāo)檢測(cè)算法加速方案

項(xiàng)目名稱(chēng):基于RK3399+Intel NCS2加速YOLO4目標(biāo)檢測(cè)算法加速方案試用計(jì)劃:項(xiàng)目名稱(chēng):基于RK3399+Intel NCS2加速YOLO4目標(biāo)檢測(cè)算法加速方案試用
2020-06-30 16:04:45

【轉(zhuǎn)貼】爭(zhēng)排名很幼稚——臺(tái)電工程師寫(xiě)給學(xué)弟學(xué)妹們的信

;的本質(zhì)。臺(tái)有一千個(gè)碩士,二百個(gè)博士。 其中大概只有 10 % 是在做先進(jìn)的制程研發(fā),另外 90 %都是在做工廠(chǎng)的事:重復(fù)、無(wú)聊、疲累,不太用到大腦。還得忍受無(wú)塵室的衣著與輪班的痛苦。不僅不高科技,而且
2009-08-23 11:28:40

什么是分散加載文件?

分散加載的作用是什么?什么是分散加載文件?
2022-02-16 06:48:19

從7nm到5nm,半導(dǎo)體制程 精選資料分享

的寬度,也被稱(chēng)為柵長(zhǎng)。柵長(zhǎng)越短,則可以在相同尺寸的硅片上集成更多的晶體管。目前,業(yè)內(nèi)最重要的代工企業(yè)臺(tái)電、三星和GF(格羅方德),在半導(dǎo)體工藝的發(fā)展上越來(lái)越迅猛,10nm制程才剛剛應(yīng)用一年半,7n...
2021-07-29 07:19:33

全球進(jìn)入5nm時(shí)代

10.7%、9.7%、8.1%,但安集微主要為臺(tái)電成熟制程提供拋光液等產(chǎn)品。江豐電子的重要客戶(hù)中也包括臺(tái)電,其鉭靶材及環(huán)件已在應(yīng)用于臺(tái)電7nm芯片中。但要想打入其5nm制程供應(yīng)鏈,大陸半導(dǎo)體材料廠(chǎng)
2020-03-09 10:13:54

關(guān)于PCB代工廠(chǎng)的制程能力,這些東西不為客戶(hù)所知

能力,都是PCB代工廠(chǎng)接單的最根本依據(jù)。——包括對(duì)于一些已經(jīng)接入的高難度的訂單,制程能力,都是最重要的生產(chǎn)參考依據(jù)。不過(guò),對(duì)于PCB代工廠(chǎng)的制程能力,還是有一些東西,是不為客戶(hù)所深知的。其一,制程能力
2022-07-15 10:10:25

各類(lèi)常用工藝庫(kù)臺(tái)電,中芯國(guó)際,華潤(rùn)上華

各類(lèi)常用工藝庫(kù)臺(tái)電,中芯國(guó)際,華潤(rùn)上華
2015-12-17 19:52:34

國(guó)民技術(shù)MCU特點(diǎn)是什么

納米制程功耗低性能強(qiáng)國(guó)民做安全芯片起家,自帶多種加密算法安全性高與臺(tái)電簽訂多份采購(gòu)訂單,供貨較為穩(wěn)定MCU產(chǎn)品已得到多家行業(yè)龍頭客戶(hù)認(rèn)可并導(dǎo)入(華為、大疆、寧德時(shí)代)寬產(chǎn)品線(xiàn)、覆蓋32位MCU從低端到高端的絕大多數(shù)應(yīng)用場(chǎng)景國(guó)民技術(shù)MCU表示Pin數(shù)與flash容量的字母后綴與ST、GD對(duì)應(yīng)含義
2021-11-01 07:51:48

增益帶寬是什么?

在百度百科上發(fā)現(xiàn)兩個(gè)版本的GB:版本一:放大器的增益帶寬(指定為GBWP,GBW,GBP或GB)是放大器帶寬和帶寬的增益的乘積;版本二:GBP(Gain Bandwidth Product,增益
2017-12-07 23:15:57

電新手必看

`弱電新手必看`
2012-09-02 19:52:35

日進(jìn)3.3億,年狂掙千億的臺(tái)電,為何還漲價(jià)?

撐著,但有的人已經(jīng)倒下了。臺(tái)電魏哲家表示,半導(dǎo)體短缺將持續(xù)到2022年。盡管臺(tái)電正在計(jì)劃建造新工廠(chǎng),但未來(lái)18個(gè)月的芯片供應(yīng)量仍將保持低位。因?yàn)?b class="flag-6" style="color: red">臺(tái)電新工廠(chǎng)的建設(shè)和設(shè)備的安裝要到2023年才能
2021-09-02 09:44:44

晶圓代工互相爭(zhēng)奪 誰(shuí)是霸主

%,達(dá)298億美元,約合新臺(tái)幣近8800億元,其中臺(tái)電即占一半,穩(wěn)坐全球龍頭?! 〗衲暝谥悄苁謾C(jī)、平板電腦、超級(jí)本等電子產(chǎn)品對(duì)先進(jìn)制程需MC68HC908AZ60ACFU求持續(xù)增加的刺激下,龍頭臺(tái)
2012-08-23 17:35:20

機(jī)器人創(chuàng)新制

機(jī)器人創(chuàng)新制
2020-05-16 09:54:51

電新手,請(qǐng)教圖中電流計(jì)算方法

電新手,如圖所示,請(qǐng)教一下電流Ibc是多少?如何計(jì)算的?
2019-03-14 10:17:08

電子行業(yè)人士帶你入行之納米制程小白篇

這些年,英特爾、三星、臺(tái)電在制程上的恩恩怨怨,堪比武俠小說(shuō)中恩怨情仇。這些大廠(chǎng)的爭(zhēng)斗均是圍繞14納米和16納米,那么問(wèn)題來(lái)了,這個(gè)14納米和16納米有什么好爭(zhēng)的?下面芯易網(wǎng)就來(lái)簡(jiǎn)單做一下介紹。納米
2016-12-16 18:20:11

電子行業(yè)人士帶你入行之納米制程小白篇

這些年,英特爾、三星、臺(tái)電在制程上的恩恩怨怨,堪比武俠小說(shuō)中恩怨情仇。這些大廠(chǎng)的爭(zhēng)斗均是圍繞14納米和16納米,那么問(wèn)題來(lái)了,這個(gè)14納米和16納米有什么好爭(zhēng)的?下面芯易網(wǎng)就來(lái)簡(jiǎn)單做一下介紹。納米
2016-06-29 14:49:15

英特爾半導(dǎo)體制程的節(jié)點(diǎn)命名

英特爾聯(lián)合創(chuàng)始人戈登·摩爾在半世紀(jì)前提出的摩爾定律,是指每代制程工藝都要讓芯片上的晶體管數(shù)量翻一番。縱觀(guān)芯片每代創(chuàng)新歷史,業(yè)界一直遵循這一定律,并按前一代制程工藝縮小約 0.7倍來(lái)對(duì)新制程節(jié)點(diǎn)命名
2019-07-17 06:27:10

蘋(píng)果芯片供應(yīng)商名單曝光后 三星哭了!

`蘋(píng)果為即將上市的iPhone7下達(dá)芯片訂單后,于近日披露部分供應(yīng)商名單。其中最重要的A10芯片全部交由臺(tái)電代工。這份供應(yīng)商名單里面,還包括由Intel和高通承包modem芯片,電源管理IC則由
2016-07-21 17:07:54

論工藝制程,Intel VS臺(tái)電誰(shuí)會(huì)贏(yíng)?

增加了臺(tái)電的訂單,后者的業(yè)績(jī)也得以節(jié)節(jié)高升?! ?b class="flag-6" style="color: red">Intel:10nm制程計(jì)劃延后  先進(jìn)的制造工藝一直是Intel橫行江湖的最大資本,不過(guò)受技術(shù)難度和市場(chǎng)因素的種種不利影響,Intel前進(jìn)的步伐也逐漸
2016-01-25 09:38:11

資料分享:關(guān)于PCB代工廠(chǎng)的制程能力,這些東西不為客戶(hù)所知

能力,都是PCB代工廠(chǎng)接單的最根本依據(jù)?!▽?duì)于一些已經(jīng)接入的高難度的訂單,制程能力,都是最重要的生產(chǎn)參考依據(jù)。不過(guò),對(duì)于PCB代工廠(chǎng)的制程能力,還是有一些東西,是不為客戶(hù)所深知的。其一,制程能力
2022-07-15 11:20:40

中芯國(guó)際:能否成為“臺(tái)電”?

中芯國(guó)際臺(tái)
芯前沿發(fā)布于 2021-07-16 18:28:10

比亞迪進(jìn)軍半導(dǎo)體,或成下一個(gè)臺(tái)電#半導(dǎo)體

臺(tái)時(shí)事熱點(diǎn)
硬聲何同學(xué)發(fā)布于 2021-08-26 15:18:50

臺(tái)電要自研光刻機(jī)#芯片 #臺(tái)

臺(tái)行業(yè)芯事經(jīng)驗(yàn)分享
中國(guó)芯動(dòng)向發(fā)布于 2022-06-07 16:46:41

炭黑分散度檢測(cè)儀

儀器介紹:     DZ3600炭黑分散度檢測(cè)儀是針對(duì)聚烯烴管材、管件和混配料中顏料或炭黑分散的檢測(cè)儀器。 測(cè)試原理:     
2022-12-29 11:06:00

傳3nm工藝延期 臺(tái)電回應(yīng)#芯片制造

臺(tái)工藝芯片制造臺(tái)行業(yè)資訊
硬聲科技熱點(diǎn)發(fā)布于 2022-10-20 16:45:35

延遲!臺(tái)電正式做出回應(yīng)了#芯片制造

臺(tái)芯片制造臺(tái)行業(yè)資訊
硬聲科技熱點(diǎn)發(fā)布于 2022-10-21 14:05:52

三星加速制程微縮 DRAM進(jìn)入40納米世代

三星加速制程微縮 DRAM進(jìn)入40納米世代 三星電子(Samsung Electronics)加速制程微縮,積極導(dǎo)入40納米制程,第4季已開(kāi)始小幅試產(chǎn)DDR3,預(yù)計(jì)2010年下半40納米將成為主流制程
2009-11-18 09:20:55466

Intel-鎂光反擊,2xnm制程NAND芯片將試制

Intel-鎂光反擊,2xnm制程NAND芯片將試制  在本月22日召開(kāi)的一次電話(huà)會(huì)議上,鎂光公司聲稱(chēng)他們很快便會(huì)試制出2x nm制程NAND閃存芯片產(chǎn)品,并對(duì)其進(jìn)行取樣測(cè)試。盡管
2009-12-26 09:56:491134

產(chǎn)能利用率下滑,臺(tái)電鼓勵(lì)員工多休假#芯片制造芯片制造

臺(tái)芯片制造臺(tái)行業(yè)資訊
新知錄發(fā)布于 2022-10-26 14:33:46

高通驍龍8gen2將提前發(fā)布,采用臺(tái)電工藝制程#芯片

臺(tái)工藝臺(tái)Qualcomm Ath高通驍龍QualcommQualcomm驍龍行業(yè)資訊
新知錄發(fā)布于 2022-10-26 14:35:06

爾必達(dá)40納米制程正式對(duì)戰(zhàn)美光

爾必達(dá)40納米制程正式對(duì)戰(zhàn)美光 一度缺席全球DRAM產(chǎn)業(yè)50納米制程大戰(zhàn)的爾必達(dá)(Elpida),隨著美光(Micron)2010年加入50納米制程,爾必達(dá)狀況更顯得困窘,在經(jīng)過(guò)近1年臥薪嘗
2010-01-08 12:28:52554

除膠渣與整孔制程術(shù)語(yǔ)定義

除膠渣與整孔制程術(shù)語(yǔ)定義 1、Conditioning 整孔此字廣義是指本身的"調(diào)節(jié)"或"調(diào)適",使能適應(yīng)后來(lái)的狀況。狹義是指干燥的板材及孔壁在進(jìn)入 PTH
2010-02-21 10:04:192843

Intel Turbo Boost睿頻加速技術(shù)發(fā)布更新

Intel Turbo Boost睿頻加速技術(shù)發(fā)布更新 英特爾在最新采用Nehalem架構(gòu)的處理器中實(shí)現(xiàn)一種能夠自動(dòng)提高CPU時(shí)鐘頻率的一種“正規(guī)超頻”技術(shù),英特爾將
2010-03-24 08:45:15863

傳蘋(píng)果大砍臺(tái)電A16/15芯片訂單

臺(tái)行業(yè)資訊
電子發(fā)燒友網(wǎng)官方發(fā)布于 2022-11-02 11:44:19

摩爾定律不死 臺(tái)電已在謀劃1nm工藝 #硬聲創(chuàng)作季

臺(tái)行業(yè)芯事
jf_49750429發(fā)布于 2022-11-02 20:44:11

10項(xiàng)TFTLCD制程技術(shù)將改變液晶面板業(yè)

DisplaySearch正式發(fā)行全球首份完整解析TFT LCD最新制程技術(shù)的DisplaySearch TFT LCD制程技術(shù)藍(lán)圖趨勢(shì)報(bào)告(TFT LCD Process Roadmap Report)。
2011-12-26 09:12:051198

分析:Intel開(kāi)放芯片代工劍指臺(tái)積電

據(jù)美聯(lián)社報(bào)道,Intel對(duì)外宣布將開(kāi)放最先進(jìn)制程22納米的產(chǎn)能給更多第三方客戶(hù)使用,市場(chǎng)解讀這是要和臺(tái)積電的先進(jìn)制程爭(zhēng)搶客戶(hù),并意在爭(zhēng)搶蘋(píng)果處理器代工訂單。
2012-02-29 09:06:24777

市場(chǎng)分析:Intel開(kāi)放芯片代工劍指臺(tái)積電

  2月24日消息,據(jù)美聯(lián)社報(bào)道,Intel對(duì)外宣布將開(kāi)放最先進(jìn)制程22納米的產(chǎn)能給更多第三方客戶(hù)使用,市場(chǎng)解讀這是要和臺(tái)積電的先進(jìn)制程爭(zhēng)搶客戶(hù),并意在爭(zhēng)搶蘋(píng)果處理器代工訂單。
2012-03-29 15:18:55605

Netronome成為英特爾第三家代工客戶(hù)

據(jù)媒體報(bào)道 日前英特爾宣布Netronome為其第三家代工客戶(hù),此前Achronix及Tabula先后宣布會(huì)采用Intel的22nmFINFET制程。
2012-04-12 08:55:18985

膽石混合功放的新制

膽石混合功放的新制作--膽石混合功放的新制
2016-03-10 17:22:5974

#臺(tái)電 #冷戰(zhàn) 臺(tái)電張忠謀回母校演講稱(chēng):應(yīng)避免冷戰(zhàn)

臺(tái)行業(yè)資訊
深圳市浮思特科技有限公司發(fā)布于 2023-10-26 17:17:08

Intel計(jì)劃開(kāi)啟42號(hào)晶圓廠(chǎng):2020年沖擊7nm制程大關(guān)

Kaby Lake已經(jīng)順利入市,Intel立即快馬加鞭思考下一步行動(dòng)的安排。回憶起今年CES上Intel曾展出了他們的10nm樣片,感覺(jué)下一代產(chǎn)品就該采用新制程了,然而就當(dāng)我們還以為芯片巨頭要準(zhǔn)備
2017-02-14 01:08:0180

Intel:業(yè)界最強(qiáng)10納米制程,簡(jiǎn)直無(wú)敵

日前,Intel在舊金山舉辦了“技術(shù)與制造日”活動(dòng),主管制造、運(yùn)營(yíng)和銷(xiāo)售的執(zhí)行副總裁Stacy Smith重申“摩爾定律不死”。根據(jù)Intel的工藝路線(xiàn)圖,14納米之后就是10納米節(jié)點(diǎn),包括10nm、10nm+、10nm++三個(gè)小迭代。接著轉(zhuǎn)向7納米節(jié)點(diǎn),并且5納米制程可以期待了。
2017-03-30 14:46:201357

高速分散機(jī)的分散效果

分散是至少兩種互不相溶或者難以相溶且不發(fā)生化學(xué)反應(yīng)的物質(zhì)的混合過(guò)程。其原理有三大 步驟:1、先潤(rùn)濕固體顆粒表面(低速攪拌);2、通過(guò)機(jī)械打散締合顆粒(打破約束力);3 最后獲得更穩(wěn)定更小的微粒
2017-09-24 09:59:570

臺(tái)積電新制程節(jié)點(diǎn)步驟不完全,推出多種封裝技術(shù)選項(xiàng)

在此同時(shí),臺(tái)積電的研究員在適合2納米以下制程節(jié)點(diǎn)應(yīng)用的下一代晶體管所需之堆棧納米線(xiàn)(nanowires)、納米片(nanosheets)設(shè)計(jì)上取得了進(jìn)展,號(hào)稱(chēng)能支持比FinFET更佳的靜電(electrostatics)特性,而且可以藉由調(diào)整組件寬度達(dá)到功耗與性能的優(yōu)化。
2018-07-25 07:25:00760

臺(tái)積電宣布推出6納米制程技術(shù) 支援客戶(hù)采用此項(xiàng)嶄新的技術(shù)來(lái)達(dá)成產(chǎn)品的效益

目前領(lǐng)先業(yè)界的 7 納米 (N7) 技術(shù)之外,還協(xié)助客戶(hù)在效能與成本之間取得高度競(jìng)爭(zhēng)力的優(yōu)勢(shì),同時(shí)藉由 N7 技術(shù)設(shè)計(jì)的直接移轉(zhuǎn)而達(dá)到加速產(chǎn)品上市的目標(biāo)。
2019-04-17 16:42:502440

新制造正在從概念逐漸落地?zé)o人工廠(chǎng)將成為新制造的主流

新制造最初是馬云在2016年云棲大會(huì)上提出的概念。馬云認(rèn)為,大數(shù)據(jù)、云計(jì)算將驅(qū)動(dòng)未來(lái)制造業(yè),新制造是制造業(yè)與服務(wù)業(yè)的完美結(jié)合。新制造的競(jìng)爭(zhēng)力不在于制造本身,而在于制造背后的創(chuàng)造思想、體驗(yàn)、感受和服務(wù)能力。因此未來(lái)的制造業(yè)“不是標(biāo)準(zhǔn)化和規(guī)?;?而是個(gè)性化、定制化、智能化”。
2019-07-29 08:52:501433

存儲(chǔ)芯片或供不應(yīng)求,將迎來(lái)新制程時(shí)代

歷經(jīng)一年多的景氣循環(huán),記憶體大廠(chǎng)庫(kù)存去化有成,加上供給端新增產(chǎn)能有限,今年受惠5G 時(shí)代來(lái)臨,供需將趨于平衡,甚至可望供不應(yīng)求;隨著產(chǎn)業(yè)將迎來(lái)好年,臺(tái)廠(chǎng)今年也將陸續(xù)有新制程技術(shù)問(wèn)世,搭上產(chǎn)業(yè)景氣步入上升循環(huán)的多頭行情。
2020-02-20 16:53:332427

Intel推出采用10nm制程Atom處理器

Intel今天除了更新他們的服務(wù)器CPU產(chǎn)品線(xiàn)之外,還額外推出了首款基于10nm制程和新的Tremont架構(gòu)的Atom處理器,型號(hào)為Atom P5900。
2020-02-25 21:32:093021

Intel CFO表示10nm不會(huì)高產(chǎn) 擬通過(guò)5nm重新奪取制程領(lǐng)域的領(lǐng)導(dǎo)地位

由于在14nm上停靠太久,Intel在名義制程工藝上,已經(jīng)明顯落后臺(tái)積電與三星。
2020-03-05 11:17:321956

臺(tái)積電在2納米新制程節(jié)點(diǎn)有重大突破

半導(dǎo)體制程一路微縮,面臨物理極限,業(yè)界原憂(yōu)心不利摩爾定律延續(xù),也就是過(guò)往每18個(gè)月推進(jìn)一個(gè)制程時(shí)代的腳步受阻,使得臺(tái)積電等半導(dǎo)體大廠(chǎng)先進(jìn)制程發(fā)展受影響。
2020-09-21 17:51:421751

淺談新制造與智能制造的差異性

當(dāng)前,制造企業(yè)的數(shù)字化轉(zhuǎn)型,更應(yīng)該關(guān)注客戶(hù)需求,關(guān)心客戶(hù)是否在線(xiàn)。這里的“在線(xiàn)”,重點(diǎn)是與客戶(hù)建立連接、洞察客戶(hù)需求、提升客戶(hù)體驗(yàn),繼而可以更好支撐企業(yè)產(chǎn)品戰(zhàn)略。 消費(fèi)升級(jí)下的“新制造” 互聯(lián)網(wǎng)
2021-02-25 12:13:151407

臺(tái)積電為1nm制程狂購(gòu)EUV光刻機(jī)

之前有消息稱(chēng),臺(tái)積電正在籌集更多的資金,為的是向ASML購(gòu)買(mǎi)更多更先進(jìn)制程的EUV光刻機(jī),而這些都是為了新制程做準(zhǔn)備。
2020-12-29 09:22:482192

Intel宣布將盡快增強(qiáng)10nm制程節(jié)點(diǎn)

不久前,Intel剛剛發(fā)布了基于10nm制程工藝的11代酷睿處理器、凌動(dòng)P5900片上系統(tǒng),Intel高級(jí)副總裁兼制造與運(yùn)營(yíng)總經(jīng)理Keyvan?Esfarjani表示,盡管intel近年來(lái)晶圓產(chǎn)能翻倍,但是面對(duì)旺盛的需求和半導(dǎo)體產(chǎn)業(yè)總體制約因素面前,其工廠(chǎng)還是顯得有一些應(yīng)接不暇。
2020-12-29 15:38:541595

Intel宣布加快增強(qiáng)10nm制程節(jié)點(diǎn)

不久前,Intel剛剛發(fā)布了基于10nm制程工藝的11代酷睿處理器、凌動(dòng)P5900片上系統(tǒng),Intel高級(jí)副總裁兼制造與運(yùn)營(yíng)總經(jīng)理Keyvan?Esfarjani表示,盡管intel近年來(lái)晶圓產(chǎn)能翻倍,但是面對(duì)旺盛的需求和半導(dǎo)體產(chǎn)業(yè)總體制約因素面前,其工廠(chǎng)還是顯得有一些應(yīng)接不暇。
2021-01-13 16:04:451720

Intel確認(rèn)2023年首發(fā)7nm:會(huì)縮小與臺(tái)積電制程上的差距

Intel會(huì)在2023年上半年如期拿出7nm產(chǎn)品,而且會(huì)先用于客戶(hù)端處理器,之后才是服務(wù)器。 有犀利的分析師指出,2023年Intel首發(fā)7nm之時(shí),臺(tái)積電已經(jīng)量產(chǎn)3nm一年時(shí)間甚至?xí)?lái)更先進(jìn)的如2nm工藝,Intel怎么看待以及應(yīng)對(duì)屆時(shí)的競(jìng)爭(zhēng)態(tài)勢(shì)? 司睿博談了兩點(diǎn),他表示制程工藝的確很重要,但并非
2021-01-22 16:55:251768

臺(tái)積電或?qū)⑻崆巴懂a(chǎn)3nm工藝 Intel、三星望塵莫及

新制程工藝推進(jìn)速度上,臺(tái)積電已經(jīng)徹底無(wú)敵,Intel、三星都已經(jīng)望塵莫及。
2021-03-03 10:25:541789

臺(tái)積電將在下半年提前投產(chǎn)3nm工藝

新制程工藝推進(jìn)速度上,臺(tái)積電已經(jīng)徹底無(wú)敵,Intel、三星都已經(jīng)望塵莫及。
2021-03-03 10:41:27853

臺(tái)積電新開(kāi)發(fā)的N5A(5納米A)制程將于2022年第三季度問(wèn)世

據(jù)業(yè)內(nèi)消息人士稱(chēng),芯片代工商臺(tái)積電新開(kāi)發(fā)的5nm家族新成員——N5A(5納米A)制程將于2022年第三季度問(wèn)世。N5A將為臺(tái)積電帶來(lái)了特斯拉、英偉達(dá)、蘋(píng)果等頂級(jí)企業(yè)的訂單。并且,NXP在其
2021-07-25 17:43:57916

英特爾的Intel 4工藝詳述

Intel 4 很好但很奇怪,真的很奇怪。在時(shí)間方面,英特爾預(yù)計(jì)這一制程將在今年晚些時(shí)候加速——這意味著會(huì)是明年產(chǎn)品使用的工藝。如果一切按計(jì)劃進(jìn)行,Intel 4 的繼任者“Intel 3”將在幾乎整整一年之后(2023 年底開(kāi)始升級(jí))。這應(yīng)該開(kāi)始讓您了解英特爾如何看待這個(gè)制程。
2022-06-22 14:42:074577

臺(tái)積電新廠(chǎng)7nm制程擴(kuò)產(chǎn)被暫緩

臺(tái)積電7nm產(chǎn)能利用率目前已跌至50%以下,預(yù)計(jì)2023年第一季度跌勢(shì)將加劇,臺(tái)積電高雄新廠(chǎng)7nm制程的擴(kuò)產(chǎn)也被暫緩。
2022-11-10 11:12:08416

Intel制程技術(shù)節(jié)點(diǎn)概覽

Intel近幾年對(duì)于芯片制程工藝的發(fā)展令人嘆為觀(guān)止,規(guī)劃從Intel 10制程開(kāi)始,逐步有序進(jìn)入到Intel 7和Intel 4技術(shù)節(jié)點(diǎn),然后就是 Intel 3、Intel 20A 和最新的Intel 18A制程。
2023-03-15 09:53:48804

新思科技與英特爾擴(kuò)大戰(zhàn)略合作,以關(guān)鍵IP組合賦能Intel 3/18A先進(jìn)制程

戰(zhàn)略合作伙伴關(guān)系之上; 新思科技與英特爾近日共同宣布,雙方已經(jīng)達(dá)成一項(xiàng)最終協(xié)議,通過(guò)為英特爾代工客戶(hù)開(kāi)發(fā)針對(duì)Intel 3和Intel 18A制程工藝的IP產(chǎn)品組合,進(jìn)一步擴(kuò)大在半導(dǎo)體IP和EDA(電子設(shè)計(jì)自動(dòng)化)領(lǐng)域的長(zhǎng)期戰(zhàn)略合作伙伴關(guān)系。新思科技針對(duì)英特爾先
2023-08-18 15:10:02378

英特爾新處理器曝光,先進(jìn)技術(shù)為Intel 7制程

目前,英特爾量產(chǎn)的最先進(jìn)技術(shù)為Intel 7制程,比前一代Intel 10的SuperFin制程的每瓦效能提升約10%-15%,而Meteor Lake采用Intel 4制程生產(chǎn),導(dǎo)入了極紫外光
2023-09-08 15:28:55750

高通或成為臺(tái)積電3nm制程的第三家客戶(hù)

蘋(píng)果已經(jīng)發(fā)布了基于臺(tái)積電3nm制程的A17 Pro處理器。最近,有消息稱(chēng),高通的下一代5G旗艦芯片也將采用臺(tái)積電3nm制程,并預(yù)計(jì)會(huì)在10月下旬公布,成為臺(tái)積電3nm制程的第三個(gè)客戶(hù),可能是高通驍龍8 Gen3。
2023-09-26 16:51:311407

英特爾推出面向AI時(shí)代的系統(tǒng)級(jí)代工,并更新制程技術(shù)路線(xiàn)圖

英特爾公司近日宣布,將推出全新的系統(tǒng)級(jí)代工服務(wù)——英特爾代工(Intel Foundry),以滿(mǎn)足AI時(shí)代對(duì)先進(jìn)制程技術(shù)的需求。這一舉措標(biāo)志著英特爾在半導(dǎo)體制造領(lǐng)域的戰(zhàn)略擴(kuò)張,并為其客戶(hù)提供了更廣泛的制程選擇。
2024-02-23 18:23:321029

英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工

英特爾宣布全新制程技術(shù)路線(xiàn)圖、客戶(hù)及生態(tài)伙伴合作,以實(shí)現(xiàn)2030年成為全球第二大代工廠(chǎng)的目標(biāo)。 新聞亮點(diǎn): ?英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工——英特爾代工(Intel Foundry),在技術(shù)
2024-02-26 15:41:45146

已全部加載完成