;
文章:新聞EDA技術電源技術無線通信測量儀表嵌入式類電子技術制造技術半導體網(wǎng)絡協(xié)議展會實驗家電維修 3G  
  下載:EDA教程電源技術電子書籍電子元件無線通信通信網(wǎng)絡電路圖紙嵌入式類單片機傳感/控制電子教材模擬數(shù)字
.... 音視頻類
消費電子機械電子行業(yè)軟件C/C++FPGA/ASIC規(guī)則標準家電維修DSPIC資料ARM軟件電路圖電子技術論壇
 
位置:電子發(fā)燒友 > 電子技術應用 > 行業(yè)新聞 > 可編程邏輯 >Altera推出面向Stratix IV FPGA的最新開發(fā)套件 退出登錄 用戶管理

Altera推出面向Stratix IV FPGA的最新開發(fā)套件

作者:未知  來源:不詳  發(fā)布時間:2009-12-9 8:45:26  [收 藏] [評 論]

Altera推出面向Stratix IV FPGA的最新開發(fā)套件

Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新開發(fā)套件。Stratix IV E FPGA 開發(fā)套件具有業(yè)界最高密度、最高性能的 FPGA。該套件為用戶提供了全面的設計環(huán)境,其中包括迅速開始其高密度原型產(chǎn)品設計所需的硬件和軟件。

Stratix IV E FPGA 開發(fā)套件基于高性能、高密度的 Stratix IV EP4SE530 FPGA。該 FPGA 具有 530K 邏輯單元 (LE),比當前市場上同類競爭產(chǎn)品的 FPGA 性能平均高 25%。Stratix IV EP4SE530 FPGA 所具有的性能和密度優(yōu)勢,讓使用 Stratix IV E FPGA 開發(fā)套件的廣大用戶能夠在單器件中容納其諸多大型設計,并實現(xiàn)快速時序收斂。


Stratix IV E FPGA 開發(fā)套件是第一款具有 533MHz DDR3 DIMM 接口的 FPGA 套件。利用該套件,用戶可以開發(fā)并測試許多由 DDR3 DIMM、QDR II+ 和 RLDRAM II 存儲器接口組成的存儲器子系統(tǒng)。

Stratix IV EP4SE530 FPGA 與 Stratix III FPGA 和 Stratix IV EP4SE820 FPGA 引腳兼容。這種引腳兼性使得用戶可以無縫地將其 Stratix III FPGA 設計移植到一個更更高密度的 Stratix IV E FPGA,F(xiàn)在用戶可以無縫地將其最終的 Stratix IV E FPGA 轉換為 Altera 低成本 HardCopy IV E ASIC。根據(jù) 2010 年新推出的硅芯片用戶還可以將其設計移植到更高密度的EP4SE820 器件,EP4SE820 器件是由 Altera 于 2009 年9 月份推出的。

  

Stratix IV E FPGA 開發(fā)套件包括:

具有 Stratix IV E EP4SE530 FPGA 的開發(fā)板

具有設計示例的板卡測試系統(tǒng)

具有 72bit 數(shù)據(jù)總線的 2GB DDR3 SDRAM DIMM

具有 18bit 數(shù)據(jù)總線的 72Mb QDR II+ SRAM 器件

具有 36bit 數(shù)據(jù)總線的 576Mb RLDRAM II CIO 器件

包含設計示例的 CD-ROM

Nios II 設計套件許可

開發(fā)套件版 (DKE) Quartus II 設計軟件

價格和供貨信息

Altera Stratix IV E FPGA 開發(fā)套件目前已開始供貨,價格為 9,995 美元。

相關技術應用閱讀 相關技術資料下載
∷相關文章評論∷    (評論內容只代表網(wǎng)友觀點,與本站立場無關。 [更多評論...]
 
 

 

 
關于本站- 意見反饋 - 網(wǎng)站導航 - 幫助 - 隱私政策 - 聯(lián)系我們 - 使用條款 - 安全承諾 - 友情連接 - 歡迎投稿
站長QQ:39550527 Powered by: 颶風網(wǎng)絡(電路圖
Copyright 2006-2008 Elecfans.Com.電子發(fā)燒友: 粵ICP備07065979號All Rights Reserved