電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>28nm制程驅(qū)動(dòng) EDA業(yè)者掀起IP并購(gòu)潮

28nm制程驅(qū)動(dòng) EDA業(yè)者掀起IP并購(gòu)潮

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

臺(tái)灣聯(lián)電擴(kuò)充28nm制程的12吋工廠 臺(tái)積電董事長(zhǎng)否認(rèn)蘋(píng)果削減A14處理器訂單

最新消息,臺(tái)灣聯(lián)電已經(jīng)計(jì)劃增加投資用于3座12吋廠的擴(kuò)產(chǎn)需求,制程28nm為主流選擇。臺(tái)積電董事長(zhǎng)最近發(fā)表的公開(kāi)講話,對(duì)半導(dǎo)體產(chǎn)業(yè)前景樂(lè)觀,表示蘋(píng)果沒(méi)有砍單的現(xiàn)象,5nm制程出貨量將會(huì)持續(xù)增加。
2020-12-17 08:51:124921

稱霸28nm:臺(tái)積電2013年或?qū)ⅹ?dú)占出貨份額

臺(tái)灣半導(dǎo)體制造公司的董事長(zhǎng)兼首席執(zhí)行官?gòu)堉抑\在上周五表示,預(yù)計(jì)該公司將在2013年得到幾乎全部的28nm制程的市場(chǎng)份額
2013-01-18 16:25:28922

瞄準(zhǔn)大陸IC設(shè)計(jì)市場(chǎng),臺(tái)積電與格羅方德激戰(zhàn)28nm制程

臺(tái)積電與格羅方德正積極搶攻中國(guó)大陸28納米(nm)市場(chǎng)商機(jī)。隨著28納米晶圓量產(chǎn)技術(shù)成熟且價(jià)格日益親民,中國(guó)大陸前五大IC設(shè)計(jì)業(yè)者正相繼在新一代處理器方案導(dǎo)入該制程,刺激28納米投片需求大增;因此
2013-08-12 10:09:41941

如何理解小米松果澎湃S1 用28nm 制程做中高端Soc?

小米松果澎湃S1芯片發(fā)布后,做為國(guó)內(nèi)第二家、全球第四家手機(jī)廠商研發(fā)的量產(chǎn)型芯片,總體上還是受到了大家的諸多贊譽(yù)。唯獨(dú)其采用的28nm工藝制程受到不少吐槽,被認(rèn)為已經(jīng)落后。這點(diǎn)不能完全否認(rèn),但值得說(shuō)道說(shuō)道,可以先從幾個(gè)問(wèn)題入手:
2017-03-07 08:22:363514

臺(tái)積電暫停28nm制程代工價(jià)格漲幅 歐洲AI芯片公司和臺(tái)積電敲定3納米合作協(xié)議

臺(tái)積電在前期略微調(diào)漲28nm代工價(jià)格之后,臺(tái)積電下半年將暫停調(diào)漲該制程報(bào)價(jià),以維持客戶關(guān)系。臺(tái)積電有這樣的底氣,也是在上月末,臺(tái)積電計(jì)劃上調(diào)南京廠28nm擴(kuò)建計(jì)劃目標(biāo),將月產(chǎn)能目標(biāo)由4萬(wàn)片提高到10
2021-08-10 10:21:295069

美國(guó)施壓,臺(tái)積電南京廠28nm線擴(kuò)產(chǎn)受阻?臺(tái)積電最新回應(yīng)來(lái)了

,計(jì)劃2022年下半年開(kāi)始量產(chǎn),2023年達(dá)到每月4萬(wàn)片的規(guī)模。為何28nm制程如此重要?在國(guó)內(nèi)持續(xù)推進(jìn)28nm國(guó)產(chǎn)化進(jìn)程時(shí),臺(tái)積電推進(jìn)大陸建廠主要背景是怎樣的?本文進(jìn)行詳細(xì)解讀。
2021-07-16 08:59:418037

半導(dǎo)體市場(chǎng)從全面缺貨轉(zhuǎn)向局部缺貨!28nm節(jié)點(diǎn)的甜蜜期還會(huì)持續(xù)多久?

28nm制程在業(yè)內(nèi)使用已經(jīng)超過(guò)10年,近年臺(tái)積電、聯(lián)電、中芯國(guó)際、力積電等都在28nm節(jié)點(diǎn)擴(kuò)充產(chǎn)能。圍繞28nm制程,為何受到青睞?今后擴(kuò)充產(chǎn)能,能否解決缺芯的困境?未來(lái)擴(kuò)充產(chǎn)能到位后,會(huì)否造成產(chǎn)能
2022-02-17 09:27:154338

面向低成本及中端應(yīng)用的28nm FPGA

低成本和中端應(yīng)用對(duì)于成本和功耗等因素的考量素來(lái)嚴(yán)謹(jǐn),Altera新發(fā)布的28nm器件系列產(chǎn)品將為這類應(yīng)用提供理想選擇,最新的Cyclone V FPGA和Arria V FPGA系列將其28nm工藝推進(jìn)到了中低端產(chǎn)品領(lǐng)域。
2011-01-26 08:59:39628

IP流量激增 28nm多核處理器競(jìng)出籠

全球網(wǎng)路設(shè)備與網(wǎng)際網(wǎng)路通訊協(xié)定(IP)流量暴增,促使網(wǎng)通半導(dǎo)體制造商正馬不停蹄地朝28奈米(nm)制程推進(jìn),預(yù)期更多強(qiáng)調(diào)低功耗、高性能與低成本的多核心處理器將傾巢而出。
2011-09-05 09:16:38734

28nm FPGA芯片-Altera 的“雄韜偉略”

電子發(fā)燒友網(wǎng)訊: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V與Cyclone V系列芯片。近日,Altera公司也正式宣布該三大系列芯片已全部開(kāi)始量產(chǎn)出貨。Altera公司憑借著其
2012-09-19 09:15:277735

增長(zhǎng)近28%!傳聯(lián)電明年28nm制程晶圓報(bào)價(jià)飆升至每片2300美元

5月10日消息 據(jù)業(yè)內(nèi)人士透露,聯(lián)電將在7月1日再次調(diào)漲代工價(jià),28nm制程的每片晶圓報(bào)價(jià)約為1800美元,比第二季度的1600美元增長(zhǎng)了近13%。另外,業(yè)內(nèi)人士稱,聯(lián)電將于明年第一季度再次提價(jià)
2021-05-10 15:09:452170

中國(guó)28nm制程會(huì)被美國(guó)卡脖子嗎?

周末傳聞美國(guó)將會(huì)重新選定限制中國(guó)半導(dǎo)體技術(shù)的瞄點(diǎn),這次將會(huì)是28nm的成熟制程。
2021-06-21 09:57:326793

LED行業(yè)掀起并購(gòu)風(fēng)潮?

并購(gòu)——這種堪稱最簡(jiǎn)單有效的擴(kuò)張版圖方式,成為了這些龐然大物的首選項(xiàng)。如果說(shuō)往年并購(gòu)案例寥寥無(wú)幾,只是偶爾有部分的LED顯示屏企業(yè)業(yè)務(wù)版圖擴(kuò)張進(jìn)行并購(gòu),那么從2015年開(kāi)始,在市場(chǎng)過(guò)度競(jìng)爭(zhēng)和產(chǎn)能過(guò)剩
2018-10-10 15:52:57

什么是Altera最新28nm FPGA技術(shù)?

1月22日,Altera 在北京展示了號(hào)稱業(yè)界最全面的28nm 最新技術(shù)及強(qiáng)大解決方案。Altera公司的多位工程師為在京的媒體人士進(jìn)行了講解。
2019-08-21 07:37:32

令人側(cè)目的Altera 28nm FPGA芯片?

最寬、集成度最高的28nm FPGA,非常靈活?! 。?)新一類目標(biāo)應(yīng)用器件,集成了28Gbps和支持背板的12.5Gbps收發(fā)器,還集成了硬核知識(shí)產(chǎn)權(quán)(IP)模塊,包括嵌入式HardCopy模塊,以及
2012-09-21 13:49:05

基于28nm工藝低電壓SRAM單元電路設(shè)計(jì)

SRAM存儲(chǔ)單元的寫(xiě)裕度(WM)。同時(shí),可以優(yōu)化SRAM存儲(chǔ)單元的抗PVT波動(dòng)能力,并且可以降低SRAM存儲(chǔ)單元的最小操作電壓。 基于SMIC 28nm工藝節(jié)點(diǎn)仿真結(jié)果顯示,新型10T單元結(jié)構(gòu)在電源電壓為
2020-04-01 14:32:04

如何利用28nm高端FPGA實(shí)現(xiàn)功耗和性能的平衡?

 從工藝選擇到設(shè)計(jì)直至投產(chǎn),設(shè)計(jì)人員關(guān)注的重點(diǎn)是以盡可能低的功耗獲得最佳性能。Altera在功耗和性能上的不斷創(chuàng)新,那其28nm高端FPGA如何實(shí)現(xiàn)功耗和性能的平衡?具體有何優(yōu)勢(shì)? 
2019-09-17 08:18:19

想知道28nm制程下,例如乘法器加法器的動(dòng)態(tài)功耗和靜態(tài)功耗應(yīng)該去查什么資料?

想知道28nm制程下,例如乘法器加法器的動(dòng)態(tài)功耗和靜態(tài)功耗應(yīng)該去查什么資料,感覺(jué)在網(wǎng)上搜不到相關(guān)的參考資料。
2023-01-03 09:59:04

請(qǐng)大神解釋一下28nm下是沒(méi)有MIM電容了嗎?

之前只用過(guò)tsmc 65nm的,在設(shè)置電感時(shí)候是有indcutor finder的工具的,28nm下沒(méi)有了嗎?只能自己掃描參數(shù)一個(gè)一個(gè)試?28nm下是沒(méi)有MIM電容了嗎?相關(guān)的模擬射頻器件(比如
2021-06-24 06:18:43

請(qǐng)問(wèn)C2000系列產(chǎn)品的制程是45nm還是28nm

請(qǐng)問(wèn)工程師,C2000系列產(chǎn)品的制程是45nm還是28nm?同一款新片可能采用不同的制程生產(chǎn)嗎?
2020-06-17 14:41:57

請(qǐng)問(wèn)FPGA中的nm是什么意思?

像我們看到的Xilinx 28nm Virtex 7 28mm或者20nm 的UltraScale啊。nm在FPGA里面具體指什么呢
2018-10-08 17:18:18

采用低功耗28nm FPGA降低系統(tǒng)總成本

的40nm器件中,作為PHY層單元,不再需要外部高性能串行I/O電路板元器件。在Altera 28nm器件中,嵌入式硬核IP模塊實(shí)現(xiàn)了ASIC的成本、性能和功耗特性,不會(huì)犧牲設(shè)計(jì)靈活性。例如,可以在
2015-02-09 15:02:06

采用低功耗28nm降低系統(tǒng)總成本

本資料是關(guān)于如何采用低功耗28nm降低系統(tǒng)總成本
2012-07-31 21:25:06

Global Foundries公司展示28nm制程芯片硅圓

Global Foundries公司展示28nm制程芯片硅圓樣品  GlobalFoundries公司日前公開(kāi)展示了一片采用28nm制程技術(shù)制作的不知名芯片硅圓。這家公司的人員不愿意
2010-01-13 11:46:241989

Altera發(fā)布28nm FPGA技術(shù)創(chuàng)新

Altera發(fā)布28nm FPGA技術(shù)創(chuàng)新 基于技術(shù)上保持領(lǐng)先的歷史,Altera公司2月2日宣布了即將推出的28nm FPGA中采用的創(chuàng)新技術(shù):嵌入式HardCopy模塊、部分重新配置新方法以及嵌入式
2010-02-04 08:37:57679

28nm器件三大創(chuàng)新,Altera期待超越摩爾定律

28nm器件三大創(chuàng)新,Altera期待超越摩爾定律 隨著TSMC 28nm全節(jié)點(diǎn)工藝即將量產(chǎn),其合作伙伴Altera日前宣布了其產(chǎn)品線將轉(zhuǎn)向28nm節(jié)點(diǎn)的策略部署。據(jù)了解,TSMC 28nm全節(jié)點(diǎn)有
2010-02-05 08:53:36732

臺(tái)積電年中將為Altera試產(chǎn)28nm制程FPGA芯片

臺(tái)積電年中將為Altera試產(chǎn)28nm制程FPGA芯片  據(jù)業(yè)者透露,臺(tái)積電公司將于今年中期開(kāi)始為Altera公司生產(chǎn)28nm制程FPGA芯片產(chǎn)品。這種FPGA芯片將集成有28Gbps收發(fā)器,產(chǎn)品面
2010-02-05 10:21:26545

28nm Stratix V FPGA突破帶寬瓶頸

28nm Stratix V FPGA突破帶寬瓶頸 Altera公司的最新28nm Stratix V FPGA正是為滿足高帶寬應(yīng)用設(shè)計(jì)要求而推出。 移動(dòng)互聯(lián)網(wǎng)、高清視頻、軍事、醫(yī)療以及計(jì)算
2010-05-10 17:52:04713

AMD有望推出首款28nm圖形處理器

AMD公司對(duì)于今年推出基于28nm工藝圖形處理器依然是相當(dāng)樂(lè)觀。該公司相信28nm節(jié)點(diǎn)不僅會(huì)為其提供機(jī)會(huì)推出先進(jìn)的圖形處理芯片"shortly",同時(shí)也將可以進(jìn)一步降低其生產(chǎn)成本。
2011-07-27 09:19:14489

TSMC擬大幅提升28nm晶元售價(jià)

雖然TSMC對(duì)于旗下28nm工藝依然保持著較為保守的態(tài)度,但是根據(jù)近期非官方的報(bào)道,由于來(lái)自官戶的需求不斷提升,TSMC將會(huì)對(duì)28nm晶元進(jìn)行提價(jià)。
2011-09-16 09:30:03955

28nm制程遭遇良率和需求前景雙重挑戰(zhàn)

盡管接下來(lái)幾年,晶圓制造領(lǐng)域?qū)⒊掷m(xù)以高于整體芯片市場(chǎng)的速度成長(zhǎng),但Gartner 和其它市場(chǎng)分析公司表示,該領(lǐng)域仍然面臨著來(lái)自先進(jìn)28nm制程節(jié)點(diǎn)的挑戰(zhàn)。 同時(shí),隨著全球經(jīng)濟(jì)趨緩,
2011-11-07 09:29:241441

降低賽靈思28nm 7系列FPGA的功耗

本白皮書(shū)介紹了有關(guān)賽靈思 28 nm 7 系列 FPGA 功耗的幾個(gè)方面,其中包括臺(tái)積電 28nm高介電層金屬閘 (HKMG) 高性能低功耗(28nm HPL 或 28 HPL)工藝的選擇。 本白皮書(shū)還介紹了 28 HPL 工藝提供
2012-03-07 14:43:4441

高通28nm制程:基于ARM Cortex-A9的Snapdragon MSM8960

1.5GHz的Snapdragon QSD8672已經(jīng)讓你感到興奮了嗎?那接下來(lái)這顆基于ARM Cortex-A9變形的Scorpio雙核架構(gòu)的Snapdragon MSM8960,應(yīng)該會(huì)讓你更驚艷!據(jù)高通聲稱,這顆采用28nm制程的第三世代Snapdrago
2012-09-04 09:14:021995

Altera公司 Cyclone V 28nm FPGA功耗優(yōu)勢(shì)

Cyclone V FPGA功耗優(yōu)勢(shì):采用低功耗28nm FPGA活的最低系統(tǒng)功耗(英文資料)
2012-09-05 16:04:1140

賽靈思28nm 超越一代的領(lǐng)先地位

電子發(fā)燒友網(wǎng)核心提示 :賽靈思28nm,實(shí)現(xiàn)了超越一代的領(lǐng)先地位。其產(chǎn)品組合 All Programmable FPGA、SoC 和 3D IC 現(xiàn)已開(kāi)始供貨;在性能、功耗和集成度上該產(chǎn)品組合有著重大突破;此外,
2012-09-28 17:23:17612

HPM何時(shí)現(xiàn)身?臺(tái)積電28nm制程技術(shù)回顧總結(jié)

臺(tái)積電目前最高端的制程平臺(tái)無(wú)疑是其28nm CMOS平臺(tái)。Chipworks網(wǎng)站的分析師認(rèn)為,未來(lái)幾年內(nèi),這個(gè)平臺(tái)將是有史以來(lái)帶給臺(tái)積電及其客戶最豐厚利潤(rùn)的平臺(tái)。而臺(tái)積電總裁張仲謀則寄望
2012-12-13 14:58:547539

高通預(yù)計(jì)今年中推下一代28nm芯片 各代工廠積極尋求合作

據(jù)業(yè)內(nèi)人士透露,臺(tái)灣芯片代工廠商聯(lián)電(UMC)已向高通交付了28nm芯片樣品進(jìn)行驗(yàn)證,并與Globalfoundries的競(jìng)爭(zhēng),努力成為繼臺(tái)積電之后高通第二個(gè)28nm芯片代工合作伙伴。
2013-01-18 09:04:46776

AMD展示首款28nm制程美洲虎處理器

AMD代號(hào)Jaguar(美洲虎)的處理器是Bobcat(山貓)的繼任者,并將采用28nm制程工藝,是專為移動(dòng)設(shè)備準(zhǔn)備的芯片解決方案,使用 Jaguar架構(gòu)的芯片功耗將從低于5W至25W的產(chǎn)品不等。
2013-02-20 09:01:261509

臺(tái)積電張忠謀談大陸28nm工藝:增長(zhǎng)很快

已經(jīng)量產(chǎn)了28nm工藝,TSMC董事長(zhǎng)張忠謀日前談到了大陸28nm工藝的競(jìng)爭(zhēng),他表示大陸公司的28nm產(chǎn)能增長(zhǎng)很快,其中有部分原因是政府背后支持。
2016-10-27 14:15:521538

Xilinx 28nm產(chǎn)品累計(jì)營(yíng)收超10億美元里程碑,市場(chǎng)份額高達(dá)65%

Xilinx 在28nm工藝節(jié)點(diǎn)實(shí)現(xiàn)重大里程碑,比此前任意工藝節(jié)點(diǎn)提前3個(gè)季度實(shí)現(xiàn)累計(jì)營(yíng)收超10億美元 賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其在28nm工藝節(jié)點(diǎn)
2017-02-09 04:26:40579

Chipworks拆解基于臺(tái)積電28nm HPL工藝的賽靈思Kintex

Chipworks制程分析室的研究人員對(duì)使用臺(tái)積電28nm HPL制程工藝(基于gatelast HKMG技術(shù))制作的賽靈思Kintex-7 FPGA芯片進(jìn)行了工藝 解剖,這是分析報(bào)告。
2017-02-11 06:39:112778

臺(tái)積電將量產(chǎn)28納米制程的優(yōu)化版,預(yù)計(jì)今年市場(chǎng)份額仍可達(dá)7成

臺(tái)積電今年將量產(chǎn)28納米制程的優(yōu)化版,預(yù)計(jì)今年市場(chǎng)份額仍可達(dá)7成,堪稱臺(tái)積電最長(zhǎng)青的28nm制程, 估計(jì)貢獻(xiàn)該公司年?duì)I收將逾2300億元新臺(tái)幣,創(chuàng)歷史新高。 臺(tái)積電最早在7年前推出28nm制程,搶得
2018-02-01 05:23:231023

三星預(yù)計(jì)今年將采用其28nm FD-SOI制程出樣20余款芯片

晶圓代工廠格芯日前宣布其22納米全耗盡型絕緣上覆硅(FD-SOI)制程技術(shù)取得了36項(xiàng)設(shè)計(jì)訂單,其中有超過(guò)十幾項(xiàng)設(shè)計(jì)將會(huì)在今年出樣(tape-out)。另一方面,其競(jìng)爭(zhēng)對(duì)手三星則預(yù)計(jì)今年將采用其28nm FD-SOI制程出樣20余款芯片。
2018-05-02 16:16:134565

超越--賽靈思7系列28nm FPGA產(chǎn)品發(fā)布會(huì)

超越--賽靈思7系列28nm FPGA產(chǎn)品發(fā)布會(huì)
2018-06-05 13:45:003536

了解Altera公司28nm的DSP創(chuàng)新技術(shù)

量信息處理領(lǐng)域:高清電視的視頻處理,3G、LTE和LTE Advanced基站,軍用雷達(dá)?! ?   28nm制程成本比40nm還貴,這似乎違背了追求更低制程的初衷——降低單位芯片成本,但這絲毫不減廠家對(duì)28nm的追逐熱度。
2018-06-22 05:28:003927

円星科技將在臺(tái)積電28奈米嵌入式閃存制程技術(shù)開(kāi)發(fā)SRAM Compiler IP

臺(tái)灣新竹 - 2019年4月17日 -全球精品硅智財(cái)開(kāi)發(fā)商円星科技(M31 Technology,臺(tái)灣股票代號(hào): 6643)宣布,將在臺(tái)積電28奈米嵌入式閃存制程技術(shù) (TSMC 28nm
2019-04-19 15:26:431045

瑞薩電子發(fā)布了業(yè)界第一款使用28nm工藝的集成閃存微控制器

繼于2015年2月28nm嵌入式閃存的工藝開(kāi)發(fā)公布后,瑞薩電子于2016年9月宣布與臺(tái)積電合作生產(chǎn)28nm MCU。今日向市場(chǎng)推出全球第一款28nm嵌入式閃存MCU,將成為瑞薩電子的另一個(gè)重要里程碑。瑞薩電子已經(jīng)驗(yàn)證了在16/14nm及下一代MCU產(chǎn)品上應(yīng)用鰭狀MONOS閃存技術(shù)。
2019-08-02 10:25:032715

紫光推出Logos-2系列,采用28nm CMOS工藝制程

紫光同創(chuàng)強(qiáng)勢(shì)推出Logos-2系列高性價(jià)比FPGA第一款產(chǎn)品PG2L100H及其全套自主軟件和IP方案。該系列產(chǎn)品采用28nm CMOS工藝制程,相對(duì)于40nm工藝Logos-1系列FPGA性能提升
2020-03-18 16:25:303906

需求下滑導(dǎo)致臺(tái)積電28nm和40/45nm產(chǎn)能利用率下滑

據(jù)國(guó)外媒體報(bào)道,由于需求下滑,芯片代工商臺(tái)積電28nm、40/45nm的產(chǎn)能利用率有下滑。
2020-04-15 14:44:583348

半導(dǎo)體制程發(fā)展:28nm向3nm的“大躍進(jìn)”

雖然高端市場(chǎng)會(huì)被 7nm、10nm以及14nm/16nm工藝占據(jù),但40nm、28nm等并不會(huì)退出。如28nm和16nm工藝現(xiàn)在仍然是臺(tái)積電的營(yíng)收主力,中芯國(guó)際則在持續(xù)提高28nm良率。
2020-10-15 11:18:024719

美國(guó)允許臺(tái)積電向華為供貨28nm芯片

。從制程工藝節(jié)點(diǎn)的迭代演變角度來(lái)看,28nm及以上屬于相對(duì)成熟制程,而目前各大芯片廠商奮力追逐的10nm、7nm、5nm甚至3nm則屬于先進(jìn)制程。 據(jù)悉,華為的電視、相機(jī)、機(jī)頂盒等產(chǎn)品應(yīng)用的SoC芯片采用28nm以上制程,這些產(chǎn)品貢獻(xiàn)臺(tái)積電營(yíng)收約
2020-10-23 10:54:442708

DigiTimes :臺(tái)積電 28nm產(chǎn)能罕見(jiàn)滿載 中芯轉(zhuǎn)單效應(yīng)提前發(fā)酵

據(jù)臺(tái)媒 DigiTimes 報(bào)道,供應(yīng)鏈表示,臺(tái)積電 28nm 制程產(chǎn)能利用率過(guò)去始終未達(dá)預(yù)期,第 4 季度出現(xiàn)多年未見(jiàn)的滿載情況。 IT之家了解到,報(bào)道指出,其中,高通(Qualcomm
2020-11-04 10:24:271509

臺(tái)積電28nm工藝產(chǎn)能將更緊張

獲得5nm工藝的產(chǎn)能。 從外媒的報(bào)道來(lái)看,不只是7nm、5nm等先進(jìn)的制程工藝,臺(tái)積電成熟工藝,目前也有強(qiáng)勁的的需求,已有外媒在報(bào)道中表示,臺(tái)積電先進(jìn)工藝和成熟工藝都有強(qiáng)勁的產(chǎn)能需求。 從相關(guān)媒體的報(bào)道來(lái)看,在芯片制程工藝方面,28nm及以上工
2020-11-19 16:50:151672

臺(tái)積電成熟 28nm 產(chǎn)能將更緊張,與代工 OLED 屏幕驅(qū)動(dòng)芯片有關(guān)

獲得5nm工藝的產(chǎn)能。 從外媒的報(bào)道來(lái)看,不只是7nm、5nm等先進(jìn)的制程工藝,臺(tái)積電的成熟工藝,目前也有強(qiáng)勁需求,已有外媒在報(bào)道中表示,臺(tái)積電先進(jìn)工藝和成熟工藝都有強(qiáng)勁的產(chǎn)能需求。 從相關(guān)媒體的報(bào)道來(lái)看,在芯片制程工藝方面,28nm及以上工藝
2020-11-19 17:13:191846

鼎龍股份:客戶端28nm制程測(cè)試進(jìn)展順利

近日,鼎龍股份接受機(jī)構(gòu)調(diào)研時(shí)表示,長(zhǎng)江存儲(chǔ)、合肥長(zhǎng)鑫、中芯國(guó)際對(duì)公司產(chǎn)品的評(píng)價(jià)較高。在存儲(chǔ)和先進(jìn)邏輯領(lǐng)域持續(xù)突破,客戶端28nm制程測(cè)試進(jìn)展順利,部分制程已獲得訂單,拋光墊的技術(shù)研發(fā)已全面進(jìn)入14nm階段。
2020-11-27 10:23:071670

28nm目前全球的晶圓代工狀況

28nm目前國(guó)內(nèi)主要的芯片產(chǎn)品以及未來(lái)5年可能會(huì)導(dǎo)入的新的芯片產(chǎn)品
2020-12-02 10:31:093845

Omdia 研究報(bào)告,28nm 將在未來(lái) 5 年成為半導(dǎo)體應(yīng)用的長(zhǎng)節(jié)點(diǎn)制程工藝

12 月 3 日消息 據(jù) Omdia 研究報(bào)告,28nm 將在未來(lái) 5 年成為半導(dǎo)體應(yīng)用的長(zhǎng)節(jié)點(diǎn)制程工藝。 在摩爾定律的指引下,集成電路的線寬不斷縮小,基本上是按每?jī)赡昕s小至原尺寸的 70% 的步伐
2020-12-03 17:02:252414

復(fù)旦微去年上半年28nm工藝制程FPGA的毛利率水平分別為98.48%和99.6%

集微網(wǎng)消息,日前,復(fù)旦微在回復(fù)上交所問(wèn)詢函中表示,公司28nm大規(guī)模億門(mén)級(jí)FPGA產(chǎn)品于2019年初開(kāi)始量產(chǎn)。2019年和2020年1-6月,公司28nm工藝制程FPGA實(shí)現(xiàn)的收入分別為
2021-01-11 18:06:012371

臺(tái)積電和聯(lián)華電子28nm工藝將滿負(fù)荷運(yùn)行

據(jù)國(guó)外媒體報(bào)道,雖然目前最先進(jìn)的芯片制程工藝已經(jīng)達(dá)到5nm,但成熟的28nm工藝,目前仍還有大量的需求,28nm工藝目前就還仍是臺(tái)積電的第4大收入來(lái)源,貢獻(xiàn)了去年四季度臺(tái)積電營(yíng)收的11%,是4項(xiàng)營(yíng)收占比超過(guò)10%的工藝之一。
2021-01-19 15:07:481810

傳中芯國(guó)際已獲14/28nm許可,官方回應(yīng)

日前有消息稱中芯國(guó)際已經(jīng)獲得了美國(guó)部分許可,14、28nm工藝的設(shè)備允許進(jìn)口。
2021-03-03 11:22:221635

曾經(jīng)被28nm改寫(xiě)的半導(dǎo)體市場(chǎng)

最近,關(guān)于28nm工藝的新聞?lì)l頻見(jiàn)于報(bào)端。 一方面,臺(tái)積電日前宣布,將斥資約800億元新臺(tái)幣,把在南京廠建置28納米制程,目標(biāo)在2023年中前達(dá)到4萬(wàn)片月產(chǎn)能。除此之外,市場(chǎng)中也有消息傳出晶圓代工
2021-05-06 17:32:322770

俄羅斯計(jì)劃耗資3.2萬(wàn)億盧布,在2030年實(shí)現(xiàn)28nm芯片的自主生產(chǎn)

,預(yù)計(jì)投資4600億盧幣,可能在今年開(kāi)始生產(chǎn)90nm制程工藝的芯片。 不過(guò)90nm制程的芯片技術(shù)早在2003年就被英特爾研發(fā)出來(lái)了,而28nm的技術(shù)也只相當(dāng)于臺(tái)積電2011年的水平,由于基礎(chǔ)薄弱的原因,俄羅斯不得不投入大量資金和時(shí)間來(lái)彌補(bǔ)芯片上的技術(shù)缺失。 綜
2022-04-17 17:14:372831

富士康計(jì)劃新建12英寸晶圓廠,將鎖定28nm及40nm制程

合作,一同成立合資企業(yè),并在馬來(lái)西亞新建一座12英寸晶圓工廠。 據(jù)了解,富士康提及到該工廠將會(huì)鎖定28nm及40nm制程,并且預(yù)計(jì)該晶圓廠投產(chǎn)后,每個(gè)月能夠提供4萬(wàn)片的產(chǎn)能。目前市面上的微控制器、傳感器、連接相關(guān)芯片等都廣泛使用了28nm制程,因此例如臺(tái)積電等制
2022-05-18 16:35:032398

22nm28nm芯片性能差異

據(jù)芯片行業(yè)來(lái)看,目前22nm28nm的芯片工藝技術(shù)已經(jīng)相當(dāng)成熟了,很多廠商也使用22nm、28nm的芯片居多,主要原因就是價(jià)格便宜,那么這兩個(gè)芯片之間有什么性能差異呢?
2022-06-29 09:47:467987

全流程EDA模擬電路設(shè)計(jì)解決方案

公司電路仿真工具 ALPS 支持最先進(jìn)的 5nm 量產(chǎn)工藝制程,處于國(guó)際領(lǐng)先水平,其余EDA 工具則支持 28nm 工藝制程。
2022-10-17 11:41:301270

基于28nm工藝制程的7系列FPGA

7系列FPGA是基于28nm工藝制程。在7系列FPGA中,每個(gè)輸入/輸出區(qū)域(I/O Bank)包含50個(gè)輸入/輸出管腳,其中有4對(duì)(8個(gè))全局時(shí)鐘管腳,稱之為CCIO(Clock-capable IO)。
2023-03-03 09:46:491323

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm
2023-03-14 19:20:110

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm
2023-03-14 19:20:430

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-03-14 19:21:550

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-03-16 19:25:461

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM
2023-03-16 19:26:220

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-03-16 19:26:321

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-03-16 19:31:220

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+
2023-03-16 19:31:530

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-03-16 19:32:060

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-03-16 19:32:200

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-03-16 19:32:490

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-03-16 19:34:540

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm
2023-07-05 19:45:460

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm
2023-07-05 19:46:141

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

已全部加載完成