電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

電子發(fā)燒友網>EDA/IC設計>TSMC和Synopsys攜手將定制設計擴展到16納米節(jié)點

TSMC和Synopsys攜手將定制設計擴展到16納米節(jié)點

收藏

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

Cadence攜手TSMC開發(fā)3D IC設計基礎架構

全球電子設計創(chuàng)新企業(yè)Cadence設計系統(tǒng)公司日前宣布其與TSMC在3D IC設計基礎架構開發(fā)方面的合作。
2012-06-11 09:47:431071

ARM攜手Cadence推出首款TSMC16納米FinFET制程Cortex-A57 64位處理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作細節(jié),揭示其共同開發(fā)首款基于臺積電16納米FinFET制程的ARM?Cortex?-A57處理器,實現(xiàn)對16納米性能和功耗縮小的承諾。
2013-04-07 13:46:441509

14納米工藝節(jié)點會給設計帶來哪些挑戰(zhàn)?

據(jù)國際物理系統(tǒng)研討會(ISPD)上專家表示:實現(xiàn)14納米芯片生產可能會比原先想象的更困難;14納米節(jié)點給設計師帶來了許多挑戰(zhàn)。這些困難和挑戰(zhàn)何在?詳見本文...
2013-04-08 09:30:513499

反擊Altera 賽靈思2014量產16納米FPGA

面對Altera采用英特爾(Intel)14納米三門極電晶體(Tri-gate Transistor)制程,并將于2016年量產14納米FPGA的攻勢,賽靈思于日前發(fā)動反擊,將攜手臺積電采用16納米FinFET制程,搶先于2014年推出新一代FPGA。
2013-05-31 09:29:541063

攜手TSMC 賽靈思穩(wěn)猛打制程牌

賽靈思(Xilinx)營收表現(xiàn)持續(xù)看漲。賽靈思攜手臺積電,先將28納米制程新產品效益極大化,而后將持續(xù)提高20納米16納米FinFET制程比例,同時以FPGA、SoC及3D IC三大產品線創(chuàng)造5年以上的持續(xù)獲利表現(xiàn)。賽靈思將可利用與臺積電良好的合作關系,于先進制程競賽中穩(wěn)扎穩(wěn)打,獲得客戶青睞。
2013-10-22 09:08:011144

蛻變盈方微16納米64位處理器架構新品溝通

、臺積電公司簽署64位處理器架構、16納米的戰(zhàn)略合作協(xié)議,從而成為國內第一家全面布局16納米、64位處理器架構的應用處理器設計公司。
2014-03-20 11:50:155044

臺積電攜手新思科技開發(fā)7納米制程設計平臺

半導體設計公司新思科技 (Synopsys) 17 日宣布,將與晶圓代工龍頭臺積電合作推出針對高效能運算 (High Performance Compute) 平臺的創(chuàng)新技術,而這些新技術是由新思科技與臺積電合作的 7 納米制程 Galaxy 設計平臺的工具所提供。
2016-10-18 10:55:37678

向量擴展將定稿,RISC-V機器學習的崛起

向量擴展將定稿,RISC-V 機器學習的崛起 ? RISC-V作為一個與x86和Arm相比仍算年輕的架構,自然需要不少擴展模塊來完善其指令集架構,尤其是對標x86與Arm的SIMD指令集。然而
2021-09-24 09:53:355395

Synopsys 系列軟件破解及安裝配置教程

的SECRET DATA和第2步使用的hostid。 Generate之后在本目錄下會產生一個license.dat文件。 5、將license.dat中的SSS Feature 拷貝synopsys
2012-08-13 17:09:29

Synopsys與R &S公司戰(zhàn)略合作常見問題Synopsys與R &S公司戰(zhàn)略合作常見問題

什么時候可以提供從Synopsys算法仿真工具、SPW和System StudioR&S信號生成器的自動配置?答:自動配置將于2011年6月向選定客戶提供,2011年10月實現(xiàn)全面供應。4.
2011-05-28 17:38:10

TSMC130_PDK是什么?如何去安裝?

TSMC130_PDK是什么?如何去安裝?
2021-06-25 07:20:53

synopsys lic

]" SN=RK:1978-0:001224:0 START=1-jan-2006PACKAGE EFA_Synopsys_1 snpslmd 2009.5 F050A0C16
2009-01-21 13:10:00

納米發(fā)電機有哪些類型

的電壓,功率密度為10.4μW/cm3。典型結構包括拱形、層疊、之字結構等。柔性摩擦納米發(fā)電機還可用于海洋波浪能回收。中國科學院王中林教授團隊構建了16個球形摩擦納米發(fā)電機構成4×4陣列,在低頻激勵下可
2020-08-25 10:59:35

納米定位平臺跟納米平臺的區(qū)別是什么?

納米定位平臺跟納米平臺的區(qū)別是什么?
2015-07-19 09:42:13

ADC與DAC工藝節(jié)點案例分析

工藝節(jié)點中設計,但是 FD-SOI 技術提供最低的功率,同時可以承受輻射效應。與體 CMOS 工藝相比,28 納米 FD-SOI 芯片的功耗將降低 70%。射頻數(shù)據(jù)轉換器需要同時具有高帶寬和低功耗,以
2023-02-07 14:11:25

ESP8266 DevKitC V1如何下載FW定制ESP8266板?

,但與可用的 ESP-01 板非常相似,具有 RX、TX、VCC 和接地的引腳分配。 我在論壇和在線資源上發(fā)現(xiàn)我需要一個 FTDI USB TTL 轉換器,我可以直接將定制板連接到 PC 以下
2023-05-30 07:41:20

HPM6750手冊中支持256MB,但是地址線只有13位,是否支持擴展到256MB?

HPM6750手冊中支持256MB,但是地址線只有13位. 是否支持擴展到256MB?
2023-05-26 07:24:38

STM32明明是32位的CPU卻將定時器設計成16位的

樓主: STM32 明明是32位的CPU,卻將定時器設計成16位的!真不明白,明明是32位的CPU,卻將定時器設計成16位的,對有些應用32位的定時器可是很重要的悠!希望下一個版本能有所改進。ST...
2021-09-09 09:24:59

X-CUBE-MEMSMIC怎么擴展到6個麥克風

你好, 我需要幫助才能使用X-NUCLEO-CCA02M1和STEVAL-MKI155V3將X-CUBE-MEMSMIC的4麥克風架構擴展到6個麥克風。我附加了修改后的BSP驅動程序.c和.h
2019-05-22 06:06:06

什么是納米

什么是納米?為什么制程更小更節(jié)能?為何制程工藝的飛躍幾乎都是每2年一次?
2021-02-01 07:54:00

利用有機材料將摩爾定律擴展到7nm以下節(jié)點

,“因此,我們目前正利用硅納米線、可重新配置的有機電路與碳納米管,打造一種可放大具有有機材料的CMOS基礎架構,以期超越7nm節(jié)點?!薄 「?據(jù)Mansfield表示,這些目標將得以實現(xiàn)
2018-11-12 16:15:26

可以將ESP Basic擴展到ESP32嗎?

,這些庫肯定會讓他建立很多偉大的項目并學習大量關于 MCU 和 IOT 的問題,你打算將 ESP Basic 擴展到 ESP32 嗎 ?
2023-05-10 07:55:04

基于SBC-85設計的擴展總線

x 100mm 四槽背板,允許 SBC -85 可擴展到其他卡,例如磁帶接口、紙帶接口、I/O、內存以及用戶組可以設想的任何其他卡。PCB+展示
2022-08-23 06:14:53

如何利用BTA06-600C將BT131的負載能力120W擴展到200W以上?

如何利用BTA06-600C將BT131的負載能力120W擴展到200W以上?如圖所示一理療燈調溫定時器(8腳IC型號不詳),原設計負載能力只有120瓦,想利用手頭的BTA06-600C將該定時器 的負載能力提高250W左右。可否實現(xiàn)?需增加那些原件,如何接線?請求您的幫助,在下先謝了!
2021-01-16 01:51:59

如何將G4 LL示例代碼擴展到全雙工模式進而與傳感器通信呢

我的 Nucleo-G431RB 板一起工作。我想知道如何將它擴展到全雙工模式以與傳感器通信。在我查看文件 stm32g4xx_ll_spi.h 后,只有 LL_SPI_TransmitData8 和 LL_SPI_ReceiveData8。沒有像TransmitReceive這樣的功能。
2022-12-21 07:27:36

如何將范圍從萬FFFF擴展到FRQQUPWM=44100

到揚聲器。我的問題是,任務只從0x000x02d3。如何將范圍從萬FFFF擴展到FRQQUPWM=44100? 以上來自于百度翻譯 以下為原文 I plan to play an audio
2019-04-01 12:54:22

如何改變VS 2015導入組件定制器?

= I2C4WWLITKO但是我試著在VS社區(qū)版2015上做這個,我不能讓定制器在“圖形”設計上。有沒有人能夠將定制器導入VS的版本中,然后成功地在創(chuàng)建者之后更改定制器?TIS之后的一步是在VS上創(chuàng)建自定義組件定制器并將其導入創(chuàng)建者,但這將是另一個故事:提前感謝卡洛斯
2019-10-12 13:22:19

工藝庫TSMC0.18um和TSMC0.18umrf有什么區(qū)別呢?

工藝庫TSMC0.18um和TSMC0.18umrf有什么區(qū)別呢?求大神解答
2021-06-23 07:33:12

怎么在List Table中將小數(shù)點擴展到最大值

我們有一臺N9010A。我們需要在List Table中將小數(shù)點擴展到最大值。如何實現(xiàn)這一目標?謝謝!喬C. 以上來自于谷歌翻譯 以下為原文We have an N9010A.We need
2018-10-18 17:12:46

新思科技發(fā)布業(yè)界首款全棧式AI驅動型EDA解決方案Synopsys.ai

摘要:Synopsys.ai可為芯片設計提供AI驅動型解決方案,包含數(shù)字、模擬、驗證、測試和制造模塊。AI引擎可顯著提高設計效率和芯片質量,同時降低成本。·英偉達(NVIDIA)、臺積公司(TSMC
2023-04-03 16:03:26

有精度可以真正達到納米納米位移計嗎?

有精度可以真正達到納米納米位移計嗎?
2015-08-26 10:41:07

納米纖維的應用前景怎么樣?

納米纖維是指具有納米尺度的碳纖維,依其結構特性可分為納米碳管即空心碳納米纖維和實心碳納米纖維。
2019-09-20 09:02:43

請問AD5933阻抗測量范圍能進行擴展嗎?

尊敬的ADI工程師,您好!AD5933的datasheet中提到:AD5933的阻抗測量范圍為1kΩ-10MΩ。我想問的是,可以對AD5933的阻抗測量范圍進行擴展嗎?比如擴展到20MΩ或者30MΩ,有相關的阻抗擴展參考電路嗎?謝謝!
2019-03-01 10:42:15

請問我能將系統(tǒng)RAM擴展到外部芯片嗎?

在數(shù)據(jù)表中提到PSOC5支持AMBA,因此很可能將并行RAM連接到芯片。我能將系統(tǒng)RAM擴展到外部芯片,例如8M字節(jié)RAM嗎?我找不到任何使用這個特性的示例項目,對此沒有任何應用說明。謝謝您
2019-04-04 17:00:32

synopsys manual

synopsys manual
2006-03-25 13:22:4024

最新!美芯片設備廠證實美對華芯片打壓擴展到14納米

納米行業(yè)芯事行業(yè)資訊
電子發(fā)燒友網官方發(fā)布于 2022-08-01 16:57:57

用兩個條形信號驅動器將10個單元擴展到100個單元

用兩個條形信號驅動器將10個單元擴展到100個單元
2008-02-25 22:00:04650

Pixelligent新型納米晶材料可擴展光刻技術

據(jù)Pixelligent Technologies LLC表示,該公司開發(fā)出一種據(jù)稱可提高現(xiàn)有光刻設備分辨率的納米晶(nanocrystalline)材料,使光學光刻(Optical lithography)可擴展至32納米以下。
2009-06-07 18:31:181475

SpringSoft Laker定制版圖系統(tǒng)支持TSMC跨平

SpringSoft Laker定制版圖系統(tǒng)支持TSMC跨平臺制程設計套件 IC設計軟件全球供貨商SpringSoft, Inc.近日宣布,Laker定制版圖自動化系統(tǒng)(Custom Layout Automation Syste
2009-07-30 08:11:201005

英飛凌、TSMC擴大合作,攜手65納米嵌入式閃存工藝

英飛凌、TSMC擴大合作,攜手65納米嵌入式閃存工藝 英飛凌科技股份公司與臺灣積體電路制造股份有限公司近日共同宣布,雙方將在研發(fā)和生產領域擴大合作,攜手開發(fā)
2009-11-10 09:02:381977

高通攜手TSMC,繼續(xù)28納米工藝上合作

高通攜手TSMC,繼續(xù)28納米工藝上合作 高通公司(Qualcomm Incorporated)與其專業(yè)集成電路制造服務伙伴-TSMC前不久日共同宣布,雙方正在28納米工藝技術進行密切合作。此
2010-01-13 08:59:23910

AMD稱其融聚渠道計劃將擴展到行業(yè)與OEM渠道

AMD稱其融聚渠道計劃將擴展到行業(yè)與OEM渠道 AMD將針對融聚合作伙伴計劃推出一系列新政策,諸如更好的在線培訓工具、增強的激勵計劃、全新的聯(lián)合營銷計劃等。
2010-03-05 10:11:47490

TSMC推出最新深亞微米互通式EDA格式

TSMC推出最新深亞微米互通式EDA格式 TSMC 7日宣布針對65納米、40納米及28納米工藝推出已統(tǒng)合且可交互操作的多項電子設計自動化(Electronic Design Automatio
2010-04-09 10:36:49672

SpringSoft推出Laker系統(tǒng)支持TSMC定制設計

SpringSoft近日宣布,其Laker系統(tǒng)獲TSMC采用并應用于混合信號、內存與I/O設計。Laker系統(tǒng)提供統(tǒng)一的、驗證有效的設計實現(xiàn)流程,支持涵蓋各種應用的TSMC定制設計需求。 作為
2010-06-10 15:08:481154

賽靈思可能領先于Altera進入28納米節(jié)點

繼在40納米節(jié)點上落后于Altera之后,可編程邏輯器件廠商賽靈思有望取得明顯成長,可能在28納米節(jié)點再度從Altera手中奪回技術領先地位。
2011-03-21 09:45:04448

Synopsys推出可用于TSMC 28納米工藝的DesignWare嵌入式存儲器和邏輯庫

新思科技有限公司(Synopsys, Inc., 納斯達克股票市場代碼:SNPS)日前宣布:即日起推出其用于臺灣積體電路制造股份有限公司(TSMC)28納米高性能(HP)和移動高性能(HPM)工藝技術的
2012-02-22 14:04:27754

SynopsysTSMC 28納米工藝DesignWare嵌入式存儲器和邏輯庫

加利福尼亞州山景城,2012年2月22日—全球領先的半導體設計、驗證和制造軟件及知識產權(IP)供應商新思科技有限公司(Synopsys, Inc.,納斯達克股票市場代碼:SNPS)日前宣布:即日起
2012-02-23 09:05:361181

蘋果合作伙伴臺積電TSMC加速量產28納米芯片

臺積電TSMC已經準備量產28納米工藝的ARM處理器了。TSMC在2011年第四季度開始從28納米芯片獲得營收,目前28納米工藝芯片占有公司總營收的額5%。在今年晚些時候,TSMC將加速28納米芯片的生
2012-04-18 10:22:37830

TSMC 28納米Cortex-A9測試芯片超越3GHz主頻

TSMC今(3)日宣布,采用28納米高效能工藝生產的ARM? Cortex-A9雙核心處理器測試芯片在常態(tài)下的處理速度高達3.1GHz。
2012-05-04 08:54:331910

TSMC持續(xù)開發(fā)先進工藝技術節(jié)點 中國IC設計發(fā)展可期

隨著芯片微縮,開發(fā)先進工藝技術的成本也越來越高。TSMC對外發(fā)言人孫又文表示,臺積電會繼續(xù)先進工藝技術節(jié)點的投入和開發(fā),今年年底臺積電將推出20nm工藝
2012-08-30 14:34:301782

TSMC 20納米的設計架構選擇Cadence解決方案

全球電子設計創(chuàng)新領先企業(yè)Cadence設計系統(tǒng)公司日前宣布TSMC已選擇Cadence解決方案作為其20納米的設計架構。Cadence解決方案包括Virtuoso定制/模擬以及Encounter RTL-to-Signoff平臺。
2012-10-22 16:48:03909

臺積電TSMC擴大與Cadence在Virtuoso定制設計平臺的合作

為專注于解決先進節(jié)點設計的日益復雜性,全球電子設計創(chuàng)新領先企業(yè)Cadence設計系統(tǒng)公司(NASDAQ:CDNS) 今天宣布,臺積電已與Cadence在Virtuoso定制和模擬設計平臺擴大合作以設計和驗證其尖端IP。
2013-07-10 13:07:23842

Cadence工具獲臺積電7納米早期設計及10納米芯片生產認證

2016年3月22日,中國上海——楷登電子(美國 Cadence 公司,NASDAQ: CDNS)今日宣布,用于10納米 FinFET工藝的數(shù)字、定制/模擬和簽核工具通過臺積電(TSMC)V1.0設計參考手冊(DRM)及SPICE認證。
2016-03-22 13:54:541026

TSMC認證Synopsys IC Compiler II適合10-nm FinFET生產

TSMC已經按照Synopsys的IC Compiler? II布局及 布線解決方案,完成了在其最先進的10-納米(nm)級FinFET v1.0技術節(jié)點上運行Synopsys數(shù)字、驗收及自定義實施工具的認證。
2016-03-23 09:12:011731

Mentor Graphics增強對TSMC 7納米工藝設計開發(fā)和10納米工藝量產的支援

Mentor Graphics公司(納斯達克代碼:MENT)今天宣布,借由完成 TSMC 10 納米 FinFET V1.0 認證,進一步增強和優(yōu)化Calibre? 平臺和 Analog
2016-03-24 11:13:19816

ARM攜手臺積電打造多核10納米FinFET測試芯片 推動前沿移動計算未來

  2016年5月19日,北京訊——ARM今日發(fā)布了首款采用臺積電公司(TSMC)10納米FinFET工藝技術的多核 64位 ARM?v8-A 處理器測試芯片。仿真基準檢驗結果顯示,相較于目前常用于多款頂尖智能手機計算芯片的16納米FinFET+工藝技術,此測試芯片展現(xiàn)更佳運算能力與功耗表現(xiàn)。
2016-05-19 16:41:50662

三星/TSMC/Intel/AMD爭先恐后研發(fā)7nm

2016年半導體的主流工藝是14/16nm FinFET工藝,主要有Intel、TSMC及三星/GlobalFoundries(格羅方德)三大陣營,下一個節(jié)點是10nm,三方都會在明年量產,不過
2016-05-30 11:53:53858

使用DesignWare邏輯庫和嵌入式存儲器以獲得16FFC SOC最佳PPA

作者:Ken Brock,Synopsys產品市場營銷經理 TSMC最近宣布其第四代主要16納米工藝,即16FFC(16納米FinFET緊湊版), 進入批量生產。該工藝提供了一種簡單的從28納米工藝
2017-02-07 18:26:11608

臺積電加速10納米制程產量 有望超過16納米

臺積電于美國舉辦年度技術論壇時表示,預估今年10納米制程產量將達40萬片12寸晶圓,2019年之后,10納米及7納米的晶圓產量合計將達到120萬片,其中,10納米晶圓今年產能即可望超過16納米
2017-03-22 01:00:38947

Cadence發(fā)布7納米工藝Virtuoso先進工藝節(jié)點擴展平臺

2017年4月18日,中國上海 – 楷登電子(美國Cadence公司,NASDAQ: CDNS)今日正式發(fā)布針對7nm工藝的全新Virtuoso? 先進工藝節(jié)點平臺。通過與采用7nm FinFET
2017-04-18 11:09:491165

臺積電宣布其7納米制程進入量產 并透露了5納米節(jié)點的首個時間表

持續(xù)同時朝多面向快速進展的晶圓代工大廠臺積電(TSMC),于美國硅谷舉行的年度技術研討會上宣布其7納米制程進入量產,并將有一個采用極紫外光微影(EUV)的版本于明年初量產;此物該公司也透露了5納米節(jié)點的首個時間表,以及數(shù)種新的封裝技術選項。
2018-05-11 17:37:003291

Synopsys設計平臺獲得TSMC工藝認證_7-nm FinFET Plus工藝技術

Synopsys設計平臺用于高性能、高密度芯片設計 重點: Synopsys設計平臺獲得TSMC工藝認證,支持高性能7-nm FinFET Plus工藝技術,已成功用于客戶的多個設計項目。 針對
2018-05-17 06:59:004461

Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝

Synopsys Synopsys近日宣布, Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝技術認證,可用于客戶先期設計。通過與TSMC的早期密切協(xié)作,IC CompilerII
2018-06-01 09:35:003784

蘋果將iPhone 的保修范圍擴展到全球

蘋果將 iPhone 的保修范圍擴展到全球,這無論對于國行還是海外版 iPhone 的用戶而言,顯然都是件大好事。加上在概述中,蘋果對國行版 iPhone 的“海外服務”標注為“有”,就更加“佐證”了新聞“iPhone 可全球聯(lián)?!钡恼f法。
2018-06-13 11:24:343573

Synopsys推出支持TSMC 7nm工藝技術

新思科技(Synopsys)推出支持TSMC 7nm FinFET工藝技術的汽車級DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231517

新思科技數(shù)字與定制設計平臺通過TSMC 5nm EUV工藝技術認證

關鍵詞:5nm , Compiler , PrimeTime 新思科技(Synopsys)宣布其數(shù)字和定制設計平臺通過了TSMC最先進的5nm EUV工藝技術認證。該認證是多年廣泛合作的結果,旨在
2018-10-27 22:16:01255

Credo于TSMC 2018南京OIP研討會首次公開展示7納米工藝結點112G SerDes

Credo 在2016年展示了其獨特的28納米工藝節(jié)點下的混合訊號112G PAM4 SerDes技術來實現(xiàn)低功耗100G光模塊,并且快速地躍進至16納米工藝結點來提供創(chuàng)新且互補的112G連接
2018-10-30 11:11:125204

Sematech在157納米光刻技術的發(fā)展

德克薩斯州奧斯汀 - 國際Sematech公司的研究經理表示,他們對將光刻技術擴展到生產的可能性更加樂觀一組專家回顧了下一代157納米曝光工具關鍵材料的最新發(fā)展后,低于0.10微米技術節(jié)點的集成電路。
2019-08-13 10:53:593443

諾基亞攜手Marvell開發(fā)新一代定制SoC和處理器

諾基亞近日宣布攜手Marvell開發(fā)領先的5G multi-RAT(無線接入技術)創(chuàng)新芯片,包括多代定制芯片和處理器,以進一步擴展適用于5G解決方案的諾基亞ReefShark芯片組系列。
2020-03-05 16:08:421689

Mentor系列IC設計工具獲得臺積電最新N5和N6制程技術認證

Mentor 與 TSMC 的合作現(xiàn)已擴展到先進封裝技術領域, Mentor Calibre? 平臺的 3DSTACK 封裝技術將進一步支持 TSMC 的先進封裝平臺。
2020-05-28 08:48:251011

講解臺積電的7納米節(jié)點技術設計規(guī)則細節(jié)

臺積電發(fā)現(xiàn),去年上半年,該公司7納米節(jié)點的需求環(huán)比略有下降,約為1%。收入的大部分繼續(xù)來自他們非常成熟的16納米節(jié)點。然而,晶圓出貨量略有增加,這是對第二季度的普遍預期。與長期趨勢相比,這實際上是3年來第二季度的最低成交量。盡管如此,他們相信N7將達到全年收入的25%。
2020-07-29 10:58:404763

Marvell攜手臺積電打造業(yè)界最先進的5納米技術數(shù)據(jù)基礎設施產品組合

兩家公司之前卓有成效的合作現(xiàn)已擴展到 5 納米技術之外,旨在為 Marvell 的客戶規(guī)劃可靠和長期的路線圖。
2020-09-18 14:14:15374

新思聯(lián)合TSMC實現(xiàn)新一代芯片設計

(功耗、性能和面積)優(yōu)勢,同時加快產品上市時間 ● 新思科技進一步強化關鍵產品,以支持TSMC N3制造的進階要求 新思科技(Synopsys)近日宣布,其數(shù)字和定制設計平臺已獲得TSMC 3nm制造技術驗證。此次驗證基于TSMC的最新設計參考手冊(DRM)和工藝設計工具包(
2020-10-14 10:47:571764

Snapdragon的875將采用5納米節(jié)點的制造

一個新的報告來自中國聲稱,Snapdragon的875將采用5納米節(jié)點的制造。值得注意的是,這不是新信息,因為它早些時候已經被揭示出來。
2020-11-05 14:45:191064

是德科技與新思科技定制化編譯器設計環(huán)境完成整合

2022年6月23日,是德科技(Keysight Technologies,Inc.)日前宣布Keysight PathWave RFPro 與新思科技(Synopsys定制化編譯器設計環(huán)境已完成整合,以便支持臺積電(TSMC)最新的 6 納米 RF(N6RF)設計參考流程。
2022-06-24 10:41:24653

高級流程節(jié)點使仿真必不可少

  就晶體管數(shù)量和復雜性而言,先進工藝節(jié)點的設計尺寸正在迅速增加。因此,Veloce Strato 仿真平臺可擴展到 150 億門。
2022-06-29 15:23:24437

臺積電1nm,如何實現(xiàn)?

在 VLSI 2021 上,imec 推出了 forksheet 器件架構,以將納米片晶體管系列的可擴展擴展到 1nm 甚至更領先的邏輯節(jié)點。
2022-11-01 10:50:423482

蘋果計劃在2024年iPhone 16上使用第一代3納米芯片

的一份來自摩根士丹利的報告,在其中談到了臺積電的3納米擴展計劃。報告表示,臺積電這家芯片晶圓制造商,計劃將把其尖端節(jié)點的生產能力從每月8萬塊晶圓降至6萬塊。其中大部分將被蘋果公司用于2024年的iPhone芯片。 這是因為臺積電為蘋果這樣的客戶準備了幾種不同種類
2022-11-14 10:42:15933

焦點芯聞丨蘋果計劃在2024年iPhone 16上使用第一代3納米芯片

16機型可能在2024年使用第一代3納米芯片。 該消息來源于《經濟日報》公布的一份來自摩根士丹利的報告,在其中談到了臺積電的3納米擴展計劃。報告表示,臺積電這家芯片晶圓制造商,計劃將把其尖端節(jié)點的生產能力從每月8萬塊晶圓降至6萬塊。其中大部分將被蘋果公司用于2024年的iPhone芯片
2022-11-14 19:30:151593

將5G安全地擴展到戰(zhàn)場空間

  如果保持不變,5G服務必須限制在戰(zhàn)場的后邊緣。然而,可以將5G帶到戰(zhàn)場中心的另一種選擇是增強或擴展核心5G網絡以獲得運營優(yōu)勢,從而可以在不犧牲商業(yè)平臺優(yōu)勢的情況下進一步擴展到戰(zhàn)場空間。
2022-11-15 15:16:081370

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-03-16 19:31:340

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+
2023-03-16 19:34:181

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-03-16 19:35:091

GTC23 | NVIDIA、ASML、TSMCSynopsys 為新一代芯片制造奠定基礎

推出一項將加速計算引入計算光刻技術領域的突破性成果。 在當前生產工藝接近物理極限的情況下,這項突破使 ASML、TSMCSynopsys 等半導體行業(yè)領導者能夠加快新一代芯片的設計和制造。 全球
2023-03-23 06:45:02310

Cadence數(shù)字和定制/模擬設計流程獲得TSMC最新N3E和N2工藝技術認證

楷登電子(美國 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 數(shù)字和定制/模擬設計流程已通過 TSMC N3E 和 N2 先進工藝的設計規(guī)則手冊(DRM)認證。兩家公司還發(fā)
2023-05-09 10:09:23708

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+

IP_數(shù)據(jù)表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

Cadence 定制/模擬設計遷移流程加速 TSMC 先進制程技術的采用

流程,能兼容所有的 TSMC(臺積電)先進節(jié)點,包括最新的 N3E 和 N2 工藝技術。 這款生成式設計遷移流程由 Cadence 和 TSMC 共同開發(fā),旨在實現(xiàn)定制和模擬 IC 設計在 TSMC
2023-09-27 10:10:04301

Synopsys宣布擴展ARC處理器 IP產品組合

Synopsys, Inc.11月8日宣布擴展其 ARC處理器 IP 產品組合,納入新的RISC-V ARC-V 處理器 IP,使客戶能夠從各種靈活、可擴展的處理器選項中進行選擇
2023-11-09 12:41:33468

新思科技攜手三星面向其SF2工藝開發(fā)優(yōu)化數(shù)字和定制設計流程

Synopsys.ai EDA解決方案加持的優(yōu)化數(shù)字和定制設計流程加速了針對三星先進節(jié)點設計的開發(fā)。
2023-12-07 09:51:19287

是德科技與英特爾攜手完成負載均衡單節(jié)點2100萬連接新建性能測試

2024年1月18日,是德科技(Keysight Technologies,Inc.)與英特爾攜手完成負載均衡產品單節(jié)點2100萬連接新建性能測試。英特爾提供軟硬件結合優(yōu)化的四層負載均衡方案
2024-01-18 14:11:52197

TSMCSynopsys將在生產中使用NVIDIA計算光刻平臺

NVIDIA 于今日宣布,為加快下一代先進半導體芯片的制造速度并克服物理限制,TSMCSynopsys 將在生產中使用 NVIDIA 計算光刻平臺。
2024-03-20 09:52:00100

已全部加載完成