電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>詳解基于FPGA至簡(jiǎn)設(shè)計(jì)法的4位閃爍燈

詳解基于FPGA至簡(jiǎn)設(shè)計(jì)法的4位閃爍燈

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

16復(fù)數(shù)乘法器 轉(zhuǎn)發(fā)(內(nèi)含代碼 文檔資料)

本帖最后由 taiyangyu_2 于 2017-4-14 15:16 編輯 明德?lián)P首創(chuàng)全新FPGA設(shè)計(jì)技巧--簡(jiǎn)設(shè)計(jì),教你如何一步一步去完成一個(gè)復(fù)雜電路的設(shè)計(jì),里面很多有實(shí)用技巧,熟練
2017-04-12 19:39:43

6678EVM boot的問(wèn)題,下載一次FPGA的源碼生成的.bit文件到FPGA中后發(fā)現(xiàn)閃爍的這是為什么?

的源代碼(稍微做了修改,只加了一個(gè)分頻產(chǎn)生1Hz的輸出信號(hào))生成的.bit文件重新下載到FPGA中,是能夠閃爍起來(lái)的,但是問(wèn)題是當(dāng)我斷電后,把撥碼開(kāi)關(guān)設(shè)置成I2C POST boot模式(IBL
2018-06-19 03:44:55

4閃爍設(shè)計(jì)就這么簡(jiǎn)單

4閃爍設(shè)計(jì)1 項(xiàng)目背景LED的理論、教學(xué)板的原理圖,已經(jīng)在案例1閃爍中有詳細(xì)的描述,在此不再講述,有興趣的讀者可以返回去閱讀。2 設(shè)計(jì)目標(biāo)本工程使用4個(gè)LED---LED1~LED4
2019-08-21 10:37:03

FPGA 1閃爍設(shè)計(jì)

FPGA獨(dú)立控制。二、設(shè)計(jì)目標(biāo)本工程使用1個(gè)LED---LED1,實(shí)現(xiàn)一個(gè)閃爍的功能。工程的工作時(shí)鐘是50M,也就是時(shí)鐘周期為20ns。當(dāng)管腳AA4輸出低電平時(shí),LED1亮,輸出高電平
2018-09-21 13:20:12

FPGA簡(jiǎn)設(shè)計(jì)為什么這么簡(jiǎn)單

由潘文明先生開(kāi)創(chuàng)的IC/FPGA簡(jiǎn)設(shè)計(jì),具備劃時(shí)代的意義。這種設(shè)計(jì)方法不僅將IC/FPGA學(xué)習(xí)難度降到了最低,同時(shí)將設(shè)計(jì)過(guò)程變得簡(jiǎn)單,并規(guī)范了代碼避免了混亂,將出錯(cuò)幾率降到最低。下面我們來(lái)看
2017-12-15 15:10:57

FPGA簡(jiǎn)設(shè)計(jì)高效設(shè)計(jì)

本帖最后由 Stark揚(yáng) 于 2018-9-26 16:52 編輯 簡(jiǎn)設(shè)計(jì)高效設(shè)計(jì)我們描述了明德?lián)P的通用設(shè)計(jì)方法。在闡述案例過(guò)程中,我們畫(huà)出了大量的波形圖。有讀者可能會(huì)問(wèn),在工作中,我們
2018-09-20 10:44:18

FPGA簡(jiǎn)設(shè)計(jì)高效設(shè)計(jì)[1241003385]

簡(jiǎn)設(shè)計(jì)高效設(shè)計(jì)上一節(jié)我們描述了明德?lián)P的通用設(shè)計(jì)方法。在闡述案例過(guò)程中,我們畫(huà)出了大量的波形圖。有讀者可能會(huì)問(wèn),在工作中,我們是不是也需要先大量地畫(huà)波形圖,再來(lái)寫(xiě)代碼呢?不是的!工作中,我們要
2018-09-20 09:09:27

FPGA簡(jiǎn)設(shè)計(jì)法案例2

FPGA簡(jiǎn)設(shè)計(jì)法案例2例2. 當(dāng)收到en=1后,dout間隔3個(gè)時(shí)鐘后,產(chǎn)生寬度為2個(gè)時(shí)鐘周期的高電平脈沖。 如上面波形圖所示,在第3個(gè)時(shí)鐘上升沿看到en==1,間隔3個(gè)時(shí)鐘后,dout變1,再過(guò)
2019-08-01 09:58:24

FPGA簡(jiǎn)設(shè)計(jì)法案例2

簡(jiǎn)設(shè)計(jì)法經(jīng)典案例2例2. 當(dāng)收到en=1后,dout間隔3個(gè)時(shí)鐘后,產(chǎn)生寬度為2個(gè)時(shí)鐘周期的高電平脈沖。如上面波形圖所示,在第3個(gè)時(shí)鐘上升沿看到en==1,間隔3個(gè)時(shí)鐘后,dout變1,再過(guò)2個(gè)
2019-07-31 08:56:18

FPGA簡(jiǎn)設(shè)計(jì)法案例4

FPGA簡(jiǎn)設(shè)計(jì)法案例4簡(jiǎn)設(shè)計(jì)法經(jīng)典案例4 案例4. 當(dāng)收到en=1時(shí),dout間隔1個(gè)時(shí)鐘后,產(chǎn)生2個(gè)時(shí)鐘周期的高電平脈沖,并且重復(fù)3次。上面波形圖顯示了描述的功能。第3個(gè)時(shí)鐘上升沿收到en
2019-08-02 08:47:47

FPGA簡(jiǎn)設(shè)計(jì)法經(jīng)典案例

簡(jiǎn)設(shè)計(jì)法經(jīng)典案例4 案例4. 當(dāng)收到en=1時(shí),dout間隔1個(gè)時(shí)鐘后,產(chǎn)生2個(gè)時(shí)鐘周期的高電平脈沖,并且重復(fù)3次。上面波形圖顯示了描述的功能。第3個(gè)時(shí)鐘上升沿收到en==1,所以dout間隔1個(gè)
2018-09-18 08:33:50

FPGA簡(jiǎn)設(shè)計(jì)法經(jīng)典案例

設(shè)計(jì)在微觀上,則制定得實(shí)用的規(guī)范。詳細(xì)到,要不要添加信號(hào);怎么添加信號(hào);添加信號(hào)的名字規(guī)范等,我們都做了詳細(xì)的規(guī)定。下面我們用4個(gè)經(jīng)典例子,講述了簡(jiǎn)設(shè)計(jì)的使用技巧。其他復(fù)雜功能,無(wú)論怎么變,都是這
2018-09-14 10:18:01

FPGA簡(jiǎn)設(shè)計(jì)法經(jīng)典案例3

程序已經(jīng)設(shè)計(jì)完畢,本題,我們使用了變量x,這是明德?lián)P的簡(jiǎn)設(shè)計(jì)方法中的變量。將module的名稱(chēng)定義為my_ex3。并且我們已經(jīng)知道該模塊有5個(gè)信號(hào):clk、rst_n、en1、en2和dout
2019-08-01 08:45:48

FPGA簡(jiǎn)設(shè)計(jì)法經(jīng)典案例3【1241003385】

: 至此,本工程的主體程序已經(jīng)設(shè)計(jì)完畢,本題,我們使用了變量x,這是明德?lián)P的簡(jiǎn)設(shè)計(jì)方法中的變量。 將module的名稱(chēng)定義為my_ex3。并且我們已經(jīng)知道該模塊有5個(gè)信號(hào):clk、rst_n、en1
2018-09-19 09:42:34

FPGA指示閃爍異常

給板子做串口調(diào)試,連續(xù)上電斷電七八次,所有FPGA指示都是正常閃爍,但是接下來(lái)就會(huì)出現(xiàn)某個(gè)LED亮著不閃,求大神告知該
2014-12-11 19:38:44

簡(jiǎn)FPGA的片內(nèi)資源

簡(jiǎn)FPGA的片內(nèi)資源
2024-01-08 22:12:08

簡(jiǎn)設(shè)計(jì)為什么這么簡(jiǎn)單

簡(jiǎn)設(shè)計(jì)為什么這么簡(jiǎn)單
2018-02-07 13:27:27

簡(jiǎn)設(shè)計(jì)之OV7670圖像采集

本帖最后由 chunfen2634 于 2017-7-21 09:43 編輯 簡(jiǎn)設(shè)計(jì)之OV7670圖像采集題目實(shí)現(xiàn)攝像頭OV7670的配置及完成圖像的采集。請(qǐng)讀者考慮實(shí)現(xiàn)該功能需要哪些模塊
2017-07-11 11:00:48

簡(jiǎn)設(shè)計(jì)完成數(shù)字時(shí)鐘設(shè)計(jì)

,其風(fēng)格也是五花八門(mén),第一感覺(jué)是貌似能看懂,但就是不知道怎么設(shè)計(jì)出來(lái)的。其實(shí)如果有正確的設(shè)計(jì)思路和方法,其實(shí)現(xiàn)起來(lái)是非常簡(jiǎn)單的。下面我們就核心的數(shù)字模塊為例,講解如何使用簡(jiǎn)設(shè)計(jì)來(lái)實(shí)現(xiàn)。數(shù)字模塊的功能
2020-02-22 18:17:23

簡(jiǎn)設(shè)計(jì)原理與應(yīng)用目錄

簡(jiǎn)設(shè)計(jì)原理與應(yīng)用目錄簡(jiǎn)介: 本書(shū)收集整理了作者在FPGA項(xiàng)目實(shí)踐中的經(jīng)驗(yàn)點(diǎn)滴。既有常用FPGA設(shè)計(jì)技巧;也有多個(gè)項(xiàng)目案例分析,小到閃爍,大到邊緣檢測(cè),AD采集等項(xiàng)目,并且這些案例大都以特定
2019-07-24 12:00:04

簡(jiǎn)設(shè)計(jì)法經(jīng)典案例

,在這樣的情況下,能成長(zhǎng)為高手,那就奇怪了。在明德?lián)P看來(lái),FPGA設(shè)計(jì)應(yīng)該有一套通用的設(shè)計(jì)方法。該方法能夠應(yīng)付所有的功能設(shè)計(jì),無(wú)論功能怎么變,都可以用該方法來(lái)套用。明德?lián)P發(fā)明的這套方法就是簡(jiǎn)設(shè)計(jì)
2019-07-31 17:17:27

簡(jiǎn)課程介紹

本帖最后由 taiyangyu_2 于 2018-12-10 11:35 編輯 簡(jiǎn)課程介紹
2018-11-21 23:52:16

芯昭哥帶你學(xué)FPGAFPGA_100天之旅_呼吸

芯昭哥帶你學(xué)FPGAFPGA_100天之旅_呼吸
2017-08-22 09:54:41

閃爍

自己做的小玩意,包含流水燈、閃爍的編寫(xiě)
2015-04-12 18:10:05

FFT簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)法_FFT算法_蝶形運(yùn)算_fpga

DIT-FFT簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)法工程說(shuō)明本設(shè)計(jì)討論的是基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)按時(shí)間抽選的基2-FFT算法(即DIF-FFT)實(shí)現(xiàn)過(guò)程,支持N由8到1024。案例補(bǔ)充說(shuō)明本案例無(wú)論是模塊劃分、計(jì)數(shù)器設(shè)計(jì)、還是
2017-08-02 17:32:27

LED閃爍設(shè)計(jì)

各位師傅們好: 我這有個(gè)LED設(shè)計(jì)圖幫忙解答下,本人一直在做工控這塊電子還是初學(xué)。題目:閃爍LED設(shè)計(jì)設(shè)計(jì)要求:1整個(gè)電路中包含控制與被控制兩個(gè)部分,控制與被控制為兩個(gè)獨(dú)立電路(不共地)通過(guò)
2021-10-05 11:07:38

LED閃爍怎么解決?

電動(dòng)工具的電機(jī)和珠使用同一個(gè)電池供電,當(dāng)電機(jī)啟動(dòng)時(shí),電流很大,導(dǎo)致電池壓降很大,LED在這時(shí)候會(huì)閃爍,電機(jī)正常工作以后,LED等也正常工作,怎么才能避免電機(jī)啟動(dòng)時(shí)LED珠的閃爍?
2018-08-09 10:44:52

LED閃爍+按鍵控制蜂鳴器簡(jiǎn)介配置方法

LED閃爍+按鍵控制蜂鳴器簡(jiǎn)介配置方法(輪詢)1. 配置LED2.配置延時(shí)3.配置按鍵4.編寫(xiě)主函數(shù)實(shí)驗(yàn)代碼(輪詢)配置方法(中斷)1. 配置LED2.配置延時(shí)3.配置按鍵4.配置中斷5.編寫(xiě)
2022-01-06 07:37:58

LabVIEW實(shí)用工具詳解簡(jiǎn)版.pdf

LabVIEW實(shí)用工具詳解簡(jiǎn)版[url=https://bbs.elecfans.com/forum.php?mod=attachment&aid=MjcwNTU1fDk1ZTc1NWUyfDE0MzgwNDM5Nzl8MTM3MTg4fDUwMjI1MQ%3D%3D][/url]
2015-07-28 08:34:58

FPGA簡(jiǎn)設(shè)計(jì)原理與應(yīng)用》FPGA學(xué)習(xí)筆記——4閃爍設(shè)計(jì)

FPGA簡(jiǎn)設(shè)計(jì)原理與應(yīng)用》學(xué)習(xí)筆記——4閃爍設(shè)計(jì)作者:一條咸魚(yú) 本文為明德?lián)P原創(chuàng)及錄用文章,轉(zhuǎn)載請(qǐng)注明出處!個(gè)人感想:本文首先分析了簡(jiǎn)設(shè)計(jì)法案例—4閃爍,然后通過(guò)該案例舉一反三,實(shí)現(xiàn)了
2020-04-24 14:08:18

FPGA簡(jiǎn)設(shè)計(jì)原理與應(yīng)用》學(xué)習(xí)筆記——1閃爍設(shè)計(jì)

FPGA簡(jiǎn)設(shè)計(jì)原理與應(yīng)用》學(xué)習(xí)筆記——1閃爍設(shè)計(jì) 作者:一條咸魚(yú) 個(gè)人總結(jié)及感悟:對(duì)于學(xué)習(xí)本案例,首先要理解案例的頂層框架,再是理解框架下面的邏輯,最后才是理解代碼。這一點(diǎn)對(duì)于自己動(dòng)手寫(xiě)代碼
2020-04-15 11:35:54

簡(jiǎn)設(shè)計(jì)案例系列】基于FPGA的密碼鎖(XILINX ISE版)

簡(jiǎn)案例系列:密碼鎖作者:造就狂野青春本文為明德?lián)P原創(chuàng)及錄用文章,轉(zhuǎn)載請(qǐng)注明出處!一、總體設(shè)計(jì)1.概述 本文基于明德?lián)P簡(jiǎn)設(shè)計(jì)和明德?lián)P設(shè)計(jì)規(guī)范,設(shè)計(jì)了一個(gè)基于FPGA的數(shù)字密碼鎖,實(shí)現(xiàn)了在撥碼開(kāi)關(guān)
2020-04-24 14:40:00

簡(jiǎn)設(shè)計(jì)案例系列】基于FPGA的頻率、電壓測(cè)量

本文為明德?lián)P原創(chuàng)及錄用文章,轉(zhuǎn)載請(qǐng)注明出處!一、總體設(shè)計(jì)1.概述本文基于明德?lián)P簡(jiǎn)設(shè)計(jì)和明德?lián)P設(shè)計(jì)規(guī)范,設(shè)計(jì)了一個(gè)基于FPGA的頻率、電壓測(cè)量?jī)x器,實(shí)現(xiàn)了測(cè)量AD采集后的波形頻率和電壓(峰峰
2020-04-23 10:52:07

【教程】看完就懂!運(yùn)用簡(jiǎn)設(shè)計(jì)進(jìn)行呼吸設(shè)計(jì)

怎樣控制led亮的頻率呢?通過(guò)控制led亮的時(shí)間長(zhǎng)度。Q3:怎樣控制亮的時(shí)間?通過(guò)計(jì)數(shù)FPGA的時(shí)鐘個(gè)數(shù)。Q4:該怎樣計(jì)數(shù)FPGA的時(shí)鐘個(gè)數(shù)?本設(shè)計(jì)的基本思想:我們讓led在1s內(nèi)由暗慢慢
2019-12-19 11:07:02

【明德?lián)P】?jī)A情分享海量FPGA設(shè)計(jì)技巧學(xué)習(xí)資料 轉(zhuǎn)

的畢業(yè)設(shè)計(jì)題目,看看如何使用簡(jiǎn)設(shè)計(jì)來(lái)設(shè)計(jì)數(shù)字時(shí)鐘。4.簡(jiǎn)設(shè)計(jì)中的四段式狀態(tài)機(jī)現(xiàn)在流行的狀態(tài)機(jī)設(shè)計(jì),一般可分為一段式、兩段式和三段式,然而我們明德?lián)P卻發(fā)明了四段式狀態(tài)機(jī),并制定了一些規(guī)則,從此設(shè)計(jì)再不
2017-03-27 19:20:53

【每周FPGA案例】簡(jiǎn)設(shè)計(jì)-電子密碼鎖

?;诿鞯?lián)P簡(jiǎn)設(shè)計(jì)和明德?lián)P設(shè)計(jì)規(guī)范,設(shè)計(jì)一個(gè)基于FPGA的密碼鎖、并將數(shù)值顯示在數(shù)碼管上,然后根據(jù)輸入的鍵值判斷密碼是否正確。1.1.2 設(shè)計(jì)目標(biāo)實(shí)現(xiàn)電子密碼鎖的功能,具體功能要求如下:1. 密碼4
2020-09-25 09:55:32

【每周FPGA案例】簡(jiǎn)設(shè)計(jì)系列_LCD入門(mén)案例_顯示圖片

簡(jiǎn)設(shè)計(jì)系列_LCD顯示圖片--作者:肖肖肖本文為明德?lián)P原創(chuàng)及錄用文章,轉(zhuǎn)載請(qǐng)注明出處!1.1 總體設(shè)計(jì)1.1.1 概述液晶顯示器是一-種通過(guò)液晶和色彩過(guò)濾器過(guò)濾光源,在平面面板上產(chǎn)生圖像的數(shù)字
2020-10-08 16:12:31

【每周FPGA案例】簡(jiǎn)設(shè)計(jì)系列_基于FPGA的測(cè)距系統(tǒng)

概述學(xué)習(xí)了明德?lián)P簡(jiǎn)設(shè)計(jì)和明德?lián)P設(shè)計(jì)規(guī)范,本人用FPGA設(shè)計(jì)了一個(gè)測(cè)距系統(tǒng)。該系統(tǒng)采用超聲波進(jìn)行測(cè)量距離再在數(shù)碼管上顯示。在本案例的設(shè)計(jì)過(guò)程中包括了超聲波的驅(qū)動(dòng)、三線式數(shù)碼管顯示等技術(shù)。經(jīng)過(guò)逐步改進(jìn)
2020-08-19 21:50:19

【每周FPGA案例】簡(jiǎn)設(shè)計(jì)系列_矩陣按鍵檢測(cè)

【上板現(xiàn)象】按鍵控制數(shù)字時(shí)鐘在點(diǎn)撥板的上板現(xiàn)象按鍵控制數(shù)字時(shí)鐘在實(shí)現(xiàn)箱的上板現(xiàn)象【設(shè)計(jì)教程】簡(jiǎn)設(shè)計(jì)系列_矩陣按鍵檢測(cè)--作者:肖肖肖1.1 總體設(shè)計(jì)1.1.1 概述在鍵盤(pán)中按鍵數(shù)量較多時(shí),為了減少
2020-07-29 15:27:54

【每周FPGA案例】簡(jiǎn)設(shè)計(jì)系列_鬧鐘

key_in輸入按鍵輸入key_vld輸出按鍵按下指示信號(hào)1.2.2 設(shè)計(jì)思路在前面的案例中已經(jīng)有按鍵檢測(cè)的介紹,所以這里不在過(guò)多介紹,詳細(xì)介紹請(qǐng)看下方鏈接:【每周FPGA案例】簡(jiǎn)設(shè)計(jì)系列_按鍵控制數(shù)字時(shí)鐘
2020-07-22 17:29:44

【潘文明簡(jiǎn)設(shè)計(jì)FPGA學(xué)習(xí)資料匯總,免費(fèi)下載

` 本帖最后由 chunfen2634 于 2017-6-29 15:27 編輯 大家好,這里潘老師將精心錄制和編輯的fpga學(xué)習(xí)系列教程——《簡(jiǎn)設(shè)計(jì)視頻教程》分享給大家。教程充分考慮0
2017-06-29 15:19:35

【潘文明簡(jiǎn)設(shè)計(jì)】系列教程 GVIM視頻教程

。明德?lián)P的模板,包含了簡(jiǎn)設(shè)計(jì)的計(jì)數(shù)器、狀態(tài)機(jī)、FIFO和模塊結(jié)構(gòu)等,這些是RTL代碼最常見(jiàn)的部分。使用這些模板的時(shí)候,工程師只需按順序填空,就能快速地進(jìn)行設(shè)計(jì),可節(jié)省大量時(shí)間。本視頻介紹了明德?lián)P
2017-06-15 11:22:29

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載62:基于PLL分頻計(jì)數(shù)的LED閃爍實(shí)例

別驅(qū)動(dòng)4個(gè)不同位寬的計(jì)數(shù)器不停的計(jì)數(shù)工作,這些計(jì)數(shù)器的最高位最終輸出用于控制4個(gè)不同的LED亮滅。由于這4個(gè)時(shí)鐘頻率都有一定的倍數(shù)關(guān)系,所以我們也很容易通過(guò)調(diào)整合理的計(jì)數(shù)器寬,達(dá)到4個(gè)LED閃爍一致
2018-04-19 19:00:56

華為內(nèi)部資料:FPGA設(shè)計(jì)高級(jí)技巧(altera篇)以及代碼書(shū)寫(xiě)規(guī)范

明德?lián)P首創(chuàng)全新FPGA設(shè)計(jì)技巧--簡(jiǎn)設(shè)計(jì),教你如何一步一步去完成一個(gè)復(fù)雜電路的設(shè)計(jì),里面很多有實(shí)用技巧,熟練運(yùn)用這些技巧,有助于你寫(xiě)出非常優(yōu)秀的代碼。例:4流水線乘法器,例子采用了明德?lián)P簡(jiǎn)設(shè)計(jì)中的方法技巧,非常簡(jiǎn)潔易讀,歡迎比較![qq]3225224637[/qq]
2017-04-10 19:02:15

基于FPGA簡(jiǎn)設(shè)計(jì)4閃爍

4閃爍一、項(xiàng)目背景LED的理論、教學(xué)板的原理圖,已經(jīng)在案例1閃爍中有詳細(xì)的描述,在此不再講述,有興趣的讀者可以返回去閱讀。二、設(shè)計(jì)目標(biāo)本工程使用4個(gè)LED---LED1~LED4,實(shí)現(xiàn)一
2019-08-06 09:02:27

基于FPGA簡(jiǎn)設(shè)計(jì)4閃爍 附件更詳細(xì)

4閃爍一、項(xiàng)目背景LED的理論、教學(xué)板的原理圖,已經(jīng)在案例1閃爍中有詳細(xì)的描述,在此不再講述,有興趣的讀者可以返回去閱讀。 二、設(shè)計(jì)目標(biāo)本工程使用4個(gè)LED---LED1~LED4,實(shí)現(xiàn)
2018-09-26 09:48:03

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的PWM調(diào)制verilog

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的PWM調(diào)制verilog
2017-09-27 09:53:33

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的PWM調(diào)制verilog

明德?lián)P分享的調(diào)制PWM驅(qū)動(dòng)LED工程,利用脈沖寬度調(diào)制調(diào)制出幾個(gè)不同寬度的脈沖來(lái)驅(qū)動(dòng)LED,添加verilog文件即可使用?;?b class="flag-6" style="color: red">至簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的PWM調(diào)制verilog.rar (281.92 KB )
2019-01-18 06:35:18

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的籃球倒計(jì)時(shí)工程

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的籃球倒計(jì)時(shí)工程
2017-11-05 14:52:24

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的紅外接收 verilog

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的紅外接收 verilog
2017-11-05 14:50:39

基于簡(jiǎn)設(shè)計(jì)實(shí)現(xiàn)的鬧鐘工程

`本案例:明德?lián)P首創(chuàng)全新FPGA設(shè)計(jì)技巧--簡(jiǎn)設(shè)計(jì),教你如何一步一步去完成一個(gè)復(fù)雜電路的設(shè)計(jì),里面很多有實(shí)用技巧,熟練運(yùn)用這些技巧,有助于你寫(xiě)出非常優(yōu)秀的FPGA設(shè)計(jì)代碼。非常簡(jiǎn)潔易讀,歡迎比較
2019-07-31 08:51:28

基于簡(jiǎn)設(shè)計(jì)的數(shù)字時(shí)鐘設(shè)計(jì)

本帖最后由 lee_st 于 2017-10-31 09:27 編輯 基于簡(jiǎn)設(shè)計(jì)的數(shù)字時(shí)鐘設(shè)計(jì)
2017-10-30 17:21:46

基于簡(jiǎn)設(shè)計(jì)的數(shù)字時(shí)鐘設(shè)計(jì)

就是不知道怎么設(shè)計(jì)出來(lái)的。其實(shí)如果有正確的設(shè)計(jì)思路和方法,其實(shí)現(xiàn)起來(lái)是非常簡(jiǎn)單的。下面我們就核心的數(shù)字模塊為例,講解如何使用簡(jiǎn)設(shè)計(jì)來(lái)實(shí)現(xiàn)。 數(shù)字模塊的功能,是產(chǎn)生6個(gè)信號(hào),分別表示時(shí)十、時(shí)個(gè)位
2017-02-15 17:32:23

基于簡(jiǎn)設(shè)計(jì)的數(shù)字時(shí)鐘設(shè)計(jì)

模塊為例,講解如何使用簡(jiǎn)設(shè)計(jì)來(lái)實(shí)現(xiàn)。數(shù)字模塊的功能,是產(chǎn)生6個(gè)信號(hào),分別表示時(shí)十、時(shí)個(gè)位、分十、分個(gè)位、秒十和秒個(gè)位的值。例如上述信號(hào)值依次為2、1、4、3、5、9時(shí),則表示時(shí)間為21點(diǎn)43分
2019-07-24 09:54:17

大道至簡(jiǎn)之編程思維

大道至簡(jiǎn)之編程思維
2012-08-20 22:49:19

如何制作簡(jiǎn)易LED閃爍

我要向初學(xué)者介紹的LED閃爍,分為單組閃爍、兩組交替閃爍和三組循環(huán)閃爍等類(lèi)型。單組閃爍電路見(jiàn)圖1.它屬于Rc振蕩電路。其工作原理是:當(dāng)接通電源時(shí),電流經(jīng)RP向C1充電,C1上的電壓不能馬上
2021-04-26 07:06:13

帶時(shí)間的布爾閃爍

帶時(shí)間的布爾閃爍,帶時(shí)間的布爾閃爍
2015-12-05 18:05:44

插值濾波器設(shè)計(jì)-明德?lián)P簡(jiǎn)設(shè)計(jì)與應(yīng)用FPGA

插值濾波器設(shè)計(jì)-明德?lián)P簡(jiǎn)設(shè)計(jì)與應(yīng)用FPGA
2019-08-16 10:34:20

明德?lián)PFPGA項(xiàng)目實(shí)踐1閃爍設(shè)計(jì)

低電平時(shí),LED7為暗。8個(gè)LED都可由FPGA獨(dú)立控制。2設(shè)計(jì)目標(biāo)本工程使用1個(gè)LED---LED1,實(shí)現(xiàn)一個(gè)閃爍的功能。工程的工作時(shí)鐘是50M,也就是時(shí)鐘周期為20ns。當(dāng)管腳AA4輸出低電平
2018-11-07 09:25:38

明德?lián)P簡(jiǎn)設(shè)計(jì)原理與應(yīng)用1.1FPGA簡(jiǎn)介

LUT可以看成一個(gè)有4地址線的RAM。當(dāng)用戶通過(guò)原理圖或HDL語(yǔ)言描述了一個(gè)邏輯電路以后,FPGA開(kāi)發(fā)軟件會(huì)自動(dòng)計(jì)算邏輯電路的所有可能結(jié)果,并把真值表(即結(jié)果)事先寫(xiě)入RAM,這樣,每輸入一個(gè)信號(hào)
2018-11-12 15:11:39

明德?lián)P簡(jiǎn)設(shè)計(jì)資料大全

/id_XMjg3NjYyMDY1Ng==.html?spm=a2hzp.8253869.0.0明德?lián)P 簡(jiǎn)設(shè)計(jì)教程FPGA定位問(wèn)題案例4http://v.youku.com/v_show
2017-07-27 17:05:14

明德?lián)P簡(jiǎn)設(shè)計(jì)原理資料包(官方原版)

本帖最后由 W陳老師 于 2022-3-3 10:13 編輯 潘文明簡(jiǎn)設(shè)計(jì),是以發(fā)明者名字命名的FPGA設(shè)計(jì)方法,綜合采用多種科學(xué)、嚴(yán)謹(jǐn)?shù)姆椒?,將整個(gè)設(shè)計(jì)過(guò)程規(guī)范化,實(shí)現(xiàn)“簡(jiǎn)”設(shè)計(jì)。其
2022-02-18 15:30:26

明德?lián)P獨(dú)創(chuàng)“簡(jiǎn)設(shè)計(jì)”介紹

潘文明簡(jiǎn)設(shè)計(jì)介紹潘文明簡(jiǎn)設(shè)計(jì),是以發(fā)明者名字命名的FPGA設(shè)計(jì)方法,綜合采用多種科學(xué)、嚴(yán)謹(jǐn)?shù)姆椒?,將整個(gè)設(shè)計(jì)過(guò)程規(guī)范化,實(shí)現(xiàn)“簡(jiǎn)”設(shè)計(jì)。其專(zhuān)著《手把手教你FPGA》2017年由北京航天
2019-07-25 16:50:44

潘文明簡(jiǎn)設(shè)計(jì)之SPI接口簡(jiǎn)代碼設(shè)計(jì)

本帖最后由 chunfen2634 于 2017-6-22 14:31 編輯 我們的簡(jiǎn)設(shè)計(jì),綜合了運(yùn)用多種科學(xué)、嚴(yán)謹(jǐn)?shù)拇a設(shè)計(jì)方法,將整個(gè)設(shè)計(jì)過(guò)程完整化、規(guī)范化,令學(xué)習(xí)方法簡(jiǎn)、設(shè)計(jì)過(guò)程
2017-06-22 10:20:39

潘文明簡(jiǎn)設(shè)計(jì)法系列教程-Verilog快速掌握新版簡(jiǎn)介

使用的過(guò)程中容易犯一些錯(cuò)誤。明德?lián)P簡(jiǎn)設(shè)計(jì)由擁有多年FPGA代碼編寫(xiě)經(jīng)驗(yàn)的潘文明老師首創(chuàng),不僅能讓初學(xué)者在短時(shí)間內(nèi)掌握Verilog語(yǔ)言,而且編寫(xiě)出的代碼簡(jiǎn)潔無(wú)冗余、準(zhǔn)確度高。我們將通過(guò)一系列
2017-06-12 11:58:50

簡(jiǎn)設(shè)計(jì)進(jìn)行PWM流水燈設(shè)計(jì)

本帖最后由 chunfen2634 于 2017-7-7 10:09 編輯 《用簡(jiǎn)設(shè)計(jì)進(jìn)行PWM流水燈設(shè)計(jì)》脈沖寬度調(diào)制(pulse width modelation)簡(jiǎn)稱(chēng)PWM,利用
2017-07-06 10:25:51

簡(jiǎn)設(shè)計(jì)進(jìn)行PWM流水燈設(shè)計(jì)

波形圖 一個(gè)周期為10ms,高電平為6ms,低電平時(shí)間為4ms的PWM,其占空比(高電平時(shí)間占整個(gè)周期的比例)為60%。 明德?lián)P的FPGA開(kāi)發(fā)板共有8個(gè)LED。產(chǎn)生8個(gè)管腳的PWM圖,如圖2-8所示
2019-07-26 14:15:16

請(qǐng)問(wèn)簡(jiǎn)設(shè)計(jì)純邏輯如何實(shí)現(xiàn)SDARM控制器?

簡(jiǎn)設(shè)計(jì)純邏輯實(shí)現(xiàn)SDARM控制器
2020-12-15 06:12:00

轉(zhuǎn)【明德?lián)PFPGA學(xué)習(xí)指南】簡(jiǎn)設(shè)計(jì)之串行結(jié)構(gòu)的FIR濾波器設(shè)計(jì)

各位童鞋,明德?lián)P的革命性的FPGA設(shè)計(jì)方法----簡(jiǎn)設(shè)計(jì),已經(jīng)正式推出了。簡(jiǎn)設(shè)計(jì),是明德?lián)P培訓(xùn)時(shí)重點(diǎn)培訓(xùn)的內(nèi)容,設(shè)計(jì)FPGA不用再盲目設(shè)計(jì)、反復(fù)修改,而是有思路、有步驟,爭(zhēng)取一次性就設(shè)計(jì)正確
2017-05-23 10:11:26

51_1_4閃爍 PPT講解 _26分 [000800000164] - 第3節(jié)

led閃爍程序函數(shù)代碼
充八萬(wàn)發(fā)布于 2023-08-19 16:01:07

51_1_4閃爍 PPT講解 _26分 [000800000164] - 第4節(jié)

led閃爍程序函數(shù)代碼
充八萬(wàn)發(fā)布于 2023-08-19 16:01:57

51_2_4閃爍 實(shí)操案例 _42分 [000800000045] - 第3節(jié)

led閃爍程序函數(shù)代碼
充八萬(wàn)發(fā)布于 2023-08-19 16:05:19

51_2_4閃爍 實(shí)操案例 _42分 [000800000045] - 第4節(jié)

led閃爍程序函數(shù)代碼
充八萬(wàn)發(fā)布于 2023-08-19 16:06:09

LED閃爍燈制作教程

本文將介紹LED閃爍燈,分為單組閃爍、兩組交替閃爍和三組循環(huán)閃爍等類(lèi)型。還介紹了單組閃爍燈電路、兩組交替閃爍燈電路、三組循環(huán)閃爍燈電路圖詳解。
2018-02-22 11:02:0024717

FPGA教程之FPGA入門(mén)閃爍燈實(shí)驗(yàn)的詳細(xì)資料說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA教程之FPGA入門(mén)閃爍燈實(shí)驗(yàn)的詳細(xì)資料說(shuō)明。
2019-03-29 17:17:0625

已全部加載完成