電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>VHDL概述及在描述數(shù)字電路時(shí)的結(jié)構(gòu)

VHDL概述及在描述數(shù)字電路時(shí)的結(jié)構(gòu)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

高速數(shù)字電路的仿真

高速數(shù)字電路的仿真 介紹了專用于高速數(shù)字電路的仿真工具Hyperlynx,并使用它對(duì)高速數(shù)字電路中的阻抗匹配、傳輸線長(zhǎng)度
2009-03-20 14:11:391275

D/A和A/D轉(zhuǎn)換概述及例題練習(xí)

D/A和A/D轉(zhuǎn)換概述及例題練習(xí)
2023-02-07 11:45:51712

數(shù)字電路設(shè)計(jì)中的一款強(qiáng)大工具—Verilog編程語言介紹

Verilog是一種硬件描述語言,用于描述數(shù)字電路結(jié)構(gòu)和行為。與傳統(tǒng)的編程語言不同,Verilog更加注重電路的行為和時(shí)序特性。
2023-08-01 09:00:071724

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新
2020-05-11 09:22:18

VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型

VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型第2節(jié) VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本語法和源文件的結(jié)構(gòu),學(xué)會(huì)用VHDL硬件描述語言設(shè)計(jì)典型數(shù)字邏輯電路。[重點(diǎn)與難點(diǎn)
2009-03-19 14:52:00

vhdl是什么

超高速集成電路硬件描述語言,主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。它在中國(guó)的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計(jì)中。當(dāng)然一些實(shí)力較為雄厚的單位,它也被用來設(shè)計(jì)ASIC。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)
2015-09-30 13:48:29

數(shù)字IC設(shè)計(jì)入門(6)初識(shí)verilog 精選資料推薦

、韓國(guó)、美國(guó)等區(qū)域應(yīng)用很普遍。本文簡(jiǎn)要地介紹國(guó)內(nèi)數(shù)字電路設(shè)計(jì)普遍使用的Verilog語言。verilog是什么。Verilog HDL是一種硬件描述語言,以文本形式來描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語言
2021-07-26 06:39:56

數(shù)字電路及其應(yīng)用

P|CB樣板打板    介紹基本知識(shí)時(shí),我們將以集成數(shù)字電路為主,該電路又分TTL和CMOS兩種類型,這里又以CMOS集成數(shù)字電路為主,因它功耗低、工作電壓范圍寬、扇出能力強(qiáng)和售價(jià)低等,很適合電子
2013-09-05 11:12:39

數(shù)字電路及其應(yīng)用

當(dāng)今時(shí)代,數(shù)字電路已廣泛地應(yīng)用于各個(gè)領(lǐng)域。本報(bào)將在“電路與制作”欄里,刊登系列文章介紹數(shù)字電路的基本知識(shí)和應(yīng)用實(shí)例?! ?b class="flag-6" style="color: red">在介紹基本知識(shí)時(shí),我們將以集成數(shù)字電路為主,該電路又分TTL和CMOS兩種
2012-12-03 21:37:43

數(shù)字電路及其應(yīng)用

的基本知識(shí)和應(yīng)用實(shí)例?! ?b class="flag-6" style="color: red">在介紹基本知識(shí)時(shí),我們將以集成數(shù)字電路為主,該電路又分TTL和CMOS兩種類型,這里又以CMOS集成數(shù)字電路為主,因它功耗低、工作電壓范圍寬、扇出能力強(qiáng)和售價(jià)低等,很適合電子
2009-04-07 09:39:18

數(shù)字電路和模擬電路有什么區(qū)別

什么是數(shù)字電路和模擬電路?數(shù)字電路和模擬電路有什么區(qū)別?
2021-03-11 07:21:36

數(shù)字電路和模擬電路的隔離探討

話說,數(shù)字電路和模擬電路同一個(gè)電路板上最好要隔離,防止相互干擾,為什么會(huì)有干擾呢?小弟不才,就此做一個(gè)簡(jiǎn)單的說明,希望有高手大牛來賜教!簡(jiǎn)單來說:數(shù)字電路是離散量,說白了就只有1和0,也就是高低
2013-04-19 19:28:25

數(shù)字電路比模擬電路有何優(yōu)勢(shì)

本文主要詳細(xì)介紹了數(shù)字電路比模擬電路的優(yōu)點(diǎn),分別是數(shù)字電路結(jié)構(gòu)簡(jiǎn)單、數(shù)字電路容易標(biāo)準(zhǔn)化、數(shù)字電路能夠...發(fā)表于 2019-05-16 17:50?7次閱讀...
2021-09-15 06:02:55

數(shù)字電路測(cè)試基礎(chǔ)

數(shù)字電路測(cè)試基礎(chǔ)難得的好教材! [hide]數(shù)字電路測(cè)試基礎(chǔ).pdf[/hide]
2009-11-20 17:13:50

數(shù)字電路的應(yīng)用介紹

  當(dāng)今時(shí)代,數(shù)字電路已廣泛地應(yīng)用于各個(gè)領(lǐng)域。本報(bào)將在“電路與制作”欄里,刊登系列文章介紹數(shù)字電路的基本知識(shí)和應(yīng)用實(shí)例?!   ?b class="flag-6" style="color: red">在介紹基本知識(shí)時(shí),我們將以集成數(shù)字電路為主,該電路又分TTL和CMOS
2018-08-28 15:36:27

數(shù)字電路相關(guān)問題

`求解答。我不想做伸手party。只想弄清楚這個(gè)怎么弄?剛學(xué)數(shù)字電路。望解答`
2017-02-21 22:07:54

DIY Protoboard數(shù)字電路

描述DIY Protoboard 數(shù)字電路用于電子電路的 0.100" 原型板。這對(duì)數(shù)字和模擬設(shè)計(jì)都有好處
2022-07-27 06:31:35

I2C總線概述及時(shí)序,看完你就懂了

I2C總線概述及時(shí)序,看完你就懂了
2021-05-24 06:42:06

什么是數(shù)字電路

的輸出與輸入之間的邏輯關(guān)系,因而在數(shù)字電路中不能采用模擬電路的分析方法,例如,小信號(hào)模型分析法。由于數(shù)字電路中的器件主要工作開關(guān)狀態(tài),因而采用的分析工具主要是邏輯代數(shù),用功能表、真值表、邏輯表達(dá)式
2009-04-06 23:45:00

什么是數(shù)字電路?

什么是數(shù)字電路?AND電路的工作方式反向輸出的NOT電路
2021-03-17 06:51:27

什么是數(shù)字電路?有什么分類?

數(shù)字邏輯電路分類數(shù)字電路的特點(diǎn)數(shù)字電路的應(yīng)用
2021-04-06 09:08:57

內(nèi)存管理概述及原理

記錄一下,方便以后翻閱~主要內(nèi)容:1) 內(nèi)存管理概述及原理;2)相關(guān)實(shí)驗(yàn)代碼解讀。官方資料:《STM32中文參考手冊(cè)_V10》-第19章 靈活的靜態(tài)存儲(chǔ)器控制器(FSMC)。實(shí)驗(yàn)要求:系統(tǒng)啟動(dòng)后
2022-02-23 06:15:20

華為《高速數(shù)字電路設(shè)計(jì)教材》

華為《高速數(shù)字電路設(shè)計(jì)教材》這本書是專門為電路設(shè)計(jì)工程師寫的。主要描述模擬電路原理高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用
2014-09-01 23:09:11

華為《高速數(shù)字電路設(shè)計(jì)教材》

華為《高速數(shù)字電路設(shè)計(jì)教材》這本書是專門為電路設(shè)計(jì)工程師寫的。主要描述模擬電路原理高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用
2014-09-01 23:20:19

如何對(duì)高速數(shù)字電路進(jìn)行仿真測(cè)試?

高速數(shù)字信號(hào)的阻抗匹配有什么作用?傳輸線長(zhǎng)度對(duì)高速數(shù)字電路的設(shè)計(jì)有什么影響?如何對(duì)高速數(shù)字電路進(jìn)行仿真測(cè)試?
2021-04-21 06:00:00

如何看懂數(shù)字電路圖?

數(shù)字電路是實(shí)現(xiàn)一定邏輯功能的電路,稱為邏輯電路,又稱為開關(guān)電路。這種電路中的晶體管一般都工作開關(guān)狀態(tài)。數(shù)字電路可以由分立元件構(gòu)成(如反相器、自激多諧振蕩器等),但現(xiàn)在絕大多數(shù)是由集成電路構(gòu)成(如與門電路
2021-02-25 07:58:41

怎么設(shè)計(jì)優(yōu)化VHDL語言電路?

已經(jīng)開發(fā)軟件方面提供了基于本公司芯片的強(qiáng)大開發(fā)工具。但由于VHDL設(shè)計(jì)是行為級(jí)設(shè)計(jì),所帶來的問題是設(shè)計(jì)者的設(shè)計(jì)思想與電路結(jié)構(gòu)相脫節(jié),而且其設(shè)計(jì)思路和編程風(fēng)格等方面也存在差異,這些差異會(huì)對(duì)系統(tǒng)綜合后的電路整體性能產(chǎn)生重要的影響。如何優(yōu)化設(shè)計(jì)?非常值得思考。
2019-08-08 07:08:00

我想學(xué)數(shù)字電路設(shè)計(jì)

大家好,我是電子愛好者新手,現(xiàn)在想學(xué)點(diǎn)數(shù)字電路設(shè)計(jì)。剛把數(shù)字電路這么課程學(xué)完。我想學(xué)電路設(shè)計(jì),不知道如何下手。比如FPGA什么的,這些都怎么開始學(xué)習(xí)啊。請(qǐng)知情者指點(diǎn)下。謝謝
2013-08-02 08:17:31

數(shù)據(jù)結(jié)構(gòu)概述及線性表

第一講 數(shù)據(jù)結(jié)構(gòu)概述及線性表 1 數(shù)據(jù)結(jié)構(gòu)概述1.1 概述    60年代初期,還沒有獨(dú)立的“數(shù)據(jù)結(jié)構(gòu)”課程,有關(guān)內(nèi)容散見于操作系統(tǒng)、編譯
2010-12-05 21:20:35

新編數(shù)字電路數(shù)字邏輯

數(shù)字邏輯》語言簡(jiǎn)潔,知識(shí)全面,深入淺出,通俗易懂。保證理論知識(shí)夠用的同時(shí),注重理論聯(lián)系實(shí)際,培養(yǎng)學(xué)生各方面的能力?!缎戮?b class="flag-6" style="color: red">數(shù)字電路與數(shù)字邏輯》在編寫過程中注意到電子技術(shù)領(lǐng)域的最新變化,將VHDL語言
2018-10-28 21:36:01

模擬電路數(shù)字電路之間的區(qū)別?

模擬電路數(shù)字電路的定義及特點(diǎn)模擬電路數(shù)字電路之間的區(qū)別模擬電路數(shù)字電路之間的聯(lián)系如何實(shí)現(xiàn)模擬和數(shù)字電路的功能
2021-03-11 06:58:41

VHDL數(shù)字電路設(shè)計(jì)(巴西)的課后答案,求大俠賜教

跪求《VHDL數(shù)字電路設(shè)計(jì)》(巴西)的課后習(xí)題答案,有的請(qǐng)發(fā)郵箱501305928@qq.com萬分感謝。。。。。。。。。。。。。。。
2014-07-19 15:34:33

波音客機(jī)概述及特征

波音客機(jī)概述及特征波音和空客客機(jī)的區(qū)別在于:· 空中客車的客機(jī)駕駛艙最后一扇窗的底邊是平的。· 波音的客機(jī)駕駛艙最后一扇窗的底邊是斜的[hide][/hide]
2010-02-24 14:32:17

淺析嵌入式FPGA與HDL硬件描述語言

)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。HDL硬件描述語言(HDL)是一種用來設(shè)計(jì)數(shù)字邏輯系統(tǒng)和描述數(shù)字電路的語言,常用的主要有VHDL、Verilog HDL、System Verilog 和 System C。VHDL是一種用于電路設(shè)計(jì)的高級(jí)
2021-12-22 07:39:43

電子羅盤概述及應(yīng)用基本介紹

目錄1 概述及應(yīng)用基本介紹電子羅盤,也叫數(shù)字指南針,是利用地磁場(chǎng)來定北極的一種方法,作為導(dǎo)航儀器或姿態(tài)傳感器已被廣泛應(yīng)用。古代稱為羅經(jīng),現(xiàn)代利用先進(jìn)加工工藝生產(chǎn)的磁阻傳感器為羅盤的數(shù)字化提供了有力
2021-12-09 06:55:17

硬件描述語言VHDL課件

硬件描述語言VHDL課件   硬件描述語言VHDL 數(shù)字系統(tǒng)設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì), 但是隨著計(jì)算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD
2008-09-11 15:47:23

高速數(shù)字電路設(shè)計(jì)的基本要求是什么

高速數(shù)字電路設(shè)計(jì)的幾個(gè)基本概念高速數(shù)字電路設(shè)計(jì)的基本要求是什么
2021-04-27 06:19:05

VHDL硬件描述語言 pdf

全面地介紹了VHDL硬件描述語言的基本知識(shí)和利用VHDL進(jìn)行數(shù)字電路系統(tǒng)設(shè)計(jì)的方法。全書共分13章:第1-6
2008-09-11 15:45:271333

VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型

[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本語法和源文件的結(jié)構(gòu),學(xué)會(huì)用VHDL硬件描述語言設(shè)計(jì)典型數(shù)字邏輯電路。[重點(diǎn)與難點(diǎn)]重點(diǎn):VHDL語言的程序結(jié)構(gòu);VHDL語言的數(shù)據(jù)類型及數(shù)
2009-03-18 20:02:3547

混合信號(hào)系統(tǒng)的VHDL-AMS建模與仿真分析

剖析硬件描述語言VHDL-AMS 的新特性。通過對(duì)A/D 轉(zhuǎn)換器和D/A 轉(zhuǎn)換器進(jìn)行建模和仿真分析可以看出,VHDL-AMS 突破了VHDL 只能設(shè)計(jì)數(shù)字電路的限制,使得VHDL 可以應(yīng)用于模擬以及混合信
2009-07-08 09:49:2322

數(shù)字電路EDA入門——VHDL程序?qū)嵗?/a>

VHDL語言概述

VHDL語言概述:本章主要內(nèi)容:􀁺硬件描述語言(HDL)􀁺VHDL語言的特點(diǎn)􀁺VHDL語言的開發(fā)流程 1.1 1.1 硬件描述語言( 硬件描述語言(HDL HDL)􀂾H
2009-08-09 23:13:2047

在MAX+plusII平臺(tái)下用VHDL進(jìn)行數(shù)字電路設(shè)計(jì)

本文介紹了在ALTERA 公司的EDA 軟件MAX+plusII 平臺(tái)下用VHDL 語言進(jìn)行數(shù)字電路設(shè)計(jì)的主要流程,并用一個(gè)設(shè)計(jì)實(shí)例闡述演示了設(shè)計(jì)過程。關(guān)鍵詞:電子設(shè)計(jì)自動(dòng)化 MAX+plusII 硬件描述
2009-08-25 14:50:3238

VHDL語言描述數(shù)字系統(tǒng)

VHDL語言描述數(shù)字系統(tǒng):本章介紹用 VHDL 描述硬件電路的一些基本手段和基本方法。   VHDL 語言是美國(guó)國(guó)防部在 20 世紀(jì) 80 年代初為實(shí)現(xiàn)其高速集成電路計(jì)劃(VHSIC)而提出的
2009-09-01 09:02:4037

vhdl數(shù)字系統(tǒng)設(shè)計(jì)

vhdl數(shù)字系統(tǒng)設(shè)計(jì)是數(shù)字電路自動(dòng)化設(shè)計(jì)(EDA)入門的工具書。其內(nèi)容主要包括:用VHDL語言設(shè)計(jì)的基本組合電路、時(shí)序電路數(shù)字綜合電路、電路圖輸入法要領(lǐng)概述、實(shí)用VHDL語句
2009-10-08 21:54:010

VHDL數(shù)字電路系統(tǒng)設(shè)計(jì)實(shí)驗(yàn)指導(dǎo)書

VHDL數(shù)字電路系統(tǒng)設(shè)計(jì)實(shí)驗(yàn)指導(dǎo)書:《VHDL數(shù)字電路系統(tǒng)設(shè)計(jì)實(shí)驗(yàn)》是電氣信息類自動(dòng)化專業(yè)、電氣工程及其自動(dòng)化專業(yè)的一門實(shí)驗(yàn)課程,也可供其他相關(guān)專業(yè)選用。本實(shí)驗(yàn)課
2010-02-06 14:14:21128

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì):本書系統(tǒng)地介紹了一種硬件描述語言,即VHDL語言設(shè)計(jì)數(shù)字邏輯電路數(shù)字系統(tǒng)的新方法。這是電子電路設(shè)計(jì)方法上一次革命性的變化,也是邁
2010-02-06 16:55:22359

EDA技術(shù)在數(shù)字電路課程設(shè)計(jì)中的應(yīng)用

摘要:在數(shù)字電路課程設(shè)計(jì)中引入先進(jìn)的EDA技術(shù)是數(shù)字電路實(shí)驗(yàn)教學(xué)改革的方向,本文通過一個(gè)數(shù)字電路課程設(shè)計(jì)的實(shí)例,說明了基于EDA技術(shù)中的VHDL語言和CPLD/FPGA器件進(jìn)行數(shù)字
2010-04-26 10:08:5023

開關(guān)磁阻電動(dòng)機(jī)測(cè)速電路VHDL數(shù)字化設(shè)計(jì)

摘要:利用數(shù)字電路完成對(duì)SRD測(cè)速電路的沒汁,并用VHDL語言進(jìn)行描述.經(jīng)過功能仿真。下載到一片F(xiàn)PGA成單片數(shù)字化測(cè)速電路,并全數(shù)字化的SRD系統(tǒng)使用了該專用測(cè)速芯片,其測(cè)速精
2010-05-04 10:02:5533

VHDL數(shù)字電路設(shè)計(jì)中的應(yīng)用

摘要:介紹應(yīng)用高速集成電路硬件描述語言(VHDL)在Altera公司的MAX+plusII環(huán)境下,設(shè)計(jì)專用分配器和計(jì)數(shù)器。關(guān)鍵詞:VHDL;分配器;計(jì)數(shù)器
2010-05-13 09:44:1138

高速數(shù)字電路設(shè)計(jì)教程

本書是專門為電路設(shè)計(jì)師工程師寫的 它主要描述模擬電路原理在高速數(shù)字電路設(shè)計(jì)中的分析應(yīng)用 1-3章分別介紹了模擬電路術(shù)語、邏輯門高速特性和標(biāo)準(zhǔn)高速電路測(cè)量
2010-06-23 18:02:5763

怎樣看數(shù)字電路

怎樣看數(shù)字電路圖緊扣“怎樣看數(shù)字電路圖”的主題,系統(tǒng)地介紹了看懂數(shù)字電路圖所必須掌握的基礎(chǔ)知識(shí)、基本方法和技巧,并通過電路實(shí)例進(jìn)行了
2008-12-26 10:55:084524

VHDL的基本描述語句設(shè)計(jì)

實(shí)驗(yàn)六、VHDL的基本描述語句設(shè)計(jì)一? 實(shí)驗(yàn)?zāi)康?掌握VHDL語言的基本結(jié)構(gòu)及設(shè)計(jì)的輸入方法。2掌握VHDL語言的基本描述語句的使用方法。二? 實(shí)驗(yàn)設(shè)備
2009-03-13 19:23:571998

什么是叫數(shù)字電路

數(shù)字電路是什么意思?   現(xiàn)代的數(shù)字電路由半導(dǎo)體工藝制成的若干數(shù)字集成器件構(gòu)造而成。邏輯門是數(shù)字邏輯電路的基本單元。存儲(chǔ)器是用來
2009-04-06 23:45:507301

數(shù)字電路及其應(yīng)用

數(shù)字電路及其應(yīng)用 編者的話  當(dāng)今時(shí)代,數(shù)字電路已廣泛地應(yīng)用于各個(gè)領(lǐng)域。本報(bào)將在“電路與制作”欄里,刊登系列文章介紹數(shù)字電路的基本知識(shí)和應(yīng)用實(shí)例。 
2009-04-07 09:38:373341

數(shù)字電路

 數(shù)字電路圖 圖 數(shù)字電路圖 監(jiān)控單元本機(jī)監(jiān)控的實(shí)現(xiàn)比較簡(jiǎn)單
2009-07-17 10:41:571453

數(shù)字電路設(shè)計(jì)

數(shù)字電路設(shè)計(jì) 關(guān)于高速數(shù)字電路的電氣特性,設(shè)計(jì)重點(diǎn)大略可分為三項(xiàng): 正時(shí)(Timing) :由于數(shù)字電路
2009-08-26 19:08:062665

BASIC語言概述及特點(diǎn)

BASIC語言概述及
2010-08-11 18:09:524371

VHDL數(shù)字電路設(shè)計(jì)》

VHDL數(shù)字電路設(shè)計(jì)》是有盧毅、賴杰主編的,主要介紹涉及數(shù)字系統(tǒng)設(shè)計(jì)的多方面原理、技術(shù)及應(yīng)用,主要內(nèi)容有數(shù)字系統(tǒng)的基本設(shè)計(jì)思想、設(shè)計(jì)方法和設(shè)計(jì)步驟, VHDL 硬件描述語言
2011-07-11 15:54:270

VHDL程序?qū)嵗?/a>

數(shù)字電路設(shè)計(jì)·仿真·測(cè)試

主要內(nèi)容有:第1 章實(shí)驗(yàn)基本知識(shí)、第2 章 PROTEUS 仿真軟件快速入門、第3 章數(shù)字電路基礎(chǔ)實(shí)驗(yàn)、第4 章數(shù)字電路綜合設(shè)計(jì)實(shí)驗(yàn)、 第5章VHDL 語言基礎(chǔ)、第6 章 數(shù)字電路的CPLD/FPGA 實(shí)現(xiàn)。在教
2011-09-07 16:29:530

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版

電子發(fā)燒友網(wǎng)站提供《[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版.txt》資料免費(fèi)下載
2012-07-10 18:32:330

硬件描述語言(HDL)概述

電子發(fā)燒友網(wǎng)核心提示 :硬件描述語言HDL是一種用形式化方法描述數(shù)字電路和系統(tǒng)的語言。 利用這種語言,數(shù)字電路系統(tǒng)的設(shè)計(jì)可以從上層到下層(從抽象到具體)逐層描述自己的設(shè)
2012-10-15 10:36:083385

經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)(第三版)

電子發(fā)燒友網(wǎng)站提供《經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)(第三版).txt》資料免費(fèi)下載
2014-08-27 11:41:090

VHDL數(shù)字電路設(shè)計(jì)教程之系統(tǒng)設(shè)計(jì)

本書共分為三個(gè)基本組成部分,首先詳細(xì)介紹VHDL語言的背景知識(shí)、基本語法結(jié)構(gòu)VHDL代碼的編寫方法;然后介紹VHDL電路單元庫(kù)的結(jié)構(gòu)和使用方法,以及如何將新的設(shè)計(jì)加入到現(xiàn)有的或自己新建立的單元
2016-04-25 17:07:530

VHDL數(shù)字電路設(shè)計(jì)教程之電路設(shè)計(jì)

本書共分為三個(gè)基本組成部分,首先詳細(xì)介紹VHDL語言的背景知識(shí)、基本語法結(jié)構(gòu)VHDL代碼的編寫方法;然后介紹VHDL電路單元庫(kù)的結(jié)構(gòu)和使用方法,以及如何將新的設(shè)計(jì)加入到現(xiàn)有的或自己新建立的單元
2016-04-25 17:07:530

數(shù)字電路正式報(bào)告

關(guān)于電路數(shù)字報(bào)告,能夠解決數(shù)字電路的實(shí)驗(yàn)問題。
2016-05-16 11:56:081

數(shù)字電路

數(shù)字電路篇,VHDL資料,又需要的下來看看
2016-08-08 17:03:2466

VHDL硬件描述語言

VHDL語言編程學(xué)習(xí)之VHDL硬件描述語言
2016-09-01 15:27:270

VHDL程序結(jié)構(gòu)

VHDL程序?qū)嶓w--EDA資料,設(shè)計(jì)實(shí)體是VHDL語言設(shè)計(jì)的基本單元,簡(jiǎn)單的可以是一個(gè)與門,復(fù)雜的可以是一個(gè)微處理器或一個(gè)數(shù)字系統(tǒng),其結(jié)構(gòu)基本是一致的,都是由實(shí)體說明和結(jié)構(gòu)體兩部分組成。實(shí)體說明
2016-11-21 15:40:340

脈沖與數(shù)字電路

脈沖與數(shù)字電路
2016-11-05 11:42:400

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì),感興趣的小伙伴們可以瞧一瞧。
2016-11-10 14:20:340

數(shù)字電路7大基礎(chǔ)實(shí)驗(yàn)

數(shù)字電路7大基礎(chǔ)實(shí)驗(yàn)
2016-12-20 17:20:060

icepeak教程概述及工程應(yīng)用

icepeak教程概述及工程應(yīng)用
2017-09-16 10:18:4113

數(shù)字電路的心臟--晶振的概述

我們常說的晶振,實(shí)際上是通過切割設(shè)備將人工培養(yǎng)的水晶進(jìn)行薄片切割而得到的,水晶的人工培養(yǎng)主要跟生長(zhǎng)環(huán)境有關(guān)系,目前市面上品質(zhì)較好的是俄羅斯生長(zhǎng)的水晶。之所以說晶振是數(shù)字電路的心臟,就是因?yàn)樗?/div>
2018-01-22 11:19:473009

硬件描述語言VHDL優(yōu)點(diǎn)及缺點(diǎn)

1987年, VHDL被正式確定為IEEE 1076標(biāo)準(zhǔn)。 VHDL是一種強(qiáng)類型語言, 具有豐富的表達(dá)能力, 可使各種復(fù)雜度(系統(tǒng)級(jí)、 電路板級(jí)、 芯片級(jí)、 門級(jí))的電路網(wǎng)絡(luò)在同一抽象程度上被描述
2018-03-30 11:20:159

VHDL語言設(shè)計(jì)實(shí)體的基本結(jié)構(gòu)

VHDL語言是一種在EDA設(shè)計(jì)中廣泛流行的硬件描述語言,主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL語言的句法、語言形式和描述風(fēng)格十分類似于一般的計(jì)算機(jī)高級(jí)語言,是目前硬件描述語言中應(yīng)用最為廣泛的一種。
2018-03-30 16:04:2721

數(shù)字電路觸發(fā)器和SR鎖存器的概述及觸發(fā)器的邏輯功能及其描述方法

在各種復(fù)雜的數(shù)字電路中不但需要對(duì)二值信號(hào)進(jìn)行數(shù)值運(yùn)算和邏輯運(yùn)算,還經(jīng)常需要將運(yùn)算結(jié)果保存下來。為此,需要使用具有記憶功能的基本邏輯單元。能夠存儲(chǔ)1位二值信號(hào)的基本單元電路統(tǒng)稱為觸發(fā)器。
2018-07-20 08:00:000

采用VHDL語言和EDA工具實(shí)現(xiàn)超高頻射頻標(biāo)簽數(shù)字電路

在研究讀寫器和射頻標(biāo)簽通信過程的基礎(chǔ)上,結(jié)合EPC C1G2協(xié)議以及ISO/IEC18000.6協(xié)議, 采用VHDL語言設(shè)計(jì)出一種應(yīng)用于超高頻段的射頻標(biāo)簽數(shù)字電路。對(duì)電路的系統(tǒng)結(jié)構(gòu)和模塊具體實(shí)現(xiàn)方法
2019-08-28 08:03:001750

什么是模擬電路 什么是數(shù)字電路

  模擬信號(hào)和數(shù)字信號(hào)的特點(diǎn)不同,處理這兩種信號(hào)的方法和電路也不同。一般地, 電子電路可分為模擬電路數(shù)字電路兩大類?!   ?. 模擬電路    處理模擬信號(hào)的電子電路稱為模擬電路。模擬電路研究
2018-10-16 10:25:0997754

數(shù)字電路比模擬電路的優(yōu)點(diǎn)

本文主要詳細(xì)介紹了數(shù)字電路比模擬電路的優(yōu)點(diǎn),分別是數(shù)字電路結(jié)構(gòu)簡(jiǎn)單、數(shù)字電路容易標(biāo)準(zhǔn)化、數(shù)字電路能夠滿足對(duì)信號(hào)保真度的要求。
2019-05-16 17:50:3617510

FPGA之硬件語法篇:用Verilog代碼仿真與驗(yàn)證數(shù)字硬件電路

數(shù)字電路中學(xué)到的邏輯電路功能,使用硬件描述語言(Verilog/VHDL)描述出來,這需要設(shè)計(jì)人員能夠用硬件編程思維來編寫代碼,以及擁有扎實(shí)的數(shù)字電路功底。
2019-12-05 07:10:002977

簡(jiǎn)析模擬電路數(shù)字電路

模擬電路數(shù)字電路的關(guān)系,有說所有電路都是模擬電路,數(shù)字電路只是模擬電路的一部分的;有說模擬電路數(shù)字電路各成系統(tǒng),井水不犯河水的;有說線性的就是模擬電路,非線性的就是數(shù)字電路,不一而足。
2019-07-03 17:35:509233

關(guān)于IO模式(浮空、推挽、開漏...) 描述及應(yīng)用

關(guān)于IO模式(浮空、推挽、開漏...)描述及應(yīng)用
2020-03-24 11:06:499186

在沒有綜合工具情況下,如何設(shè)計(jì)數(shù)字電路

雖然在FPGA中,利用綜合工具來可以將VHDL或者Verilog代碼轉(zhuǎn)化成電路。但是作為FPGA工程師而言,在沒有綜合工具的情況下,如何設(shè)計(jì)出數(shù)字電路呢?如果已經(jīng)知道需要實(shí)現(xiàn)的功能的狀態(tài)機(jī),如何將它轉(zhuǎn)化成數(shù)字電路呢?和設(shè)計(jì)出數(shù)字電路呢?
2020-06-17 16:33:382899

一文帶你了解數(shù)字電路和模擬電路的區(qū)別

。邏輯門是數(shù)字邏輯電路的基本單元。存儲(chǔ)器是用來存儲(chǔ)二進(jìn)值數(shù)據(jù)的數(shù)字電路。 數(shù)字電路的特點(diǎn) 電路結(jié)構(gòu)簡(jiǎn)單,穩(wěn)定可靠。數(shù)字電路只要能區(qū)分高電平和低電平即可, 對(duì)元件的精度要求不高,因此有利于實(shí)現(xiàn)數(shù)字電路集成化。 數(shù)字信號(hào)
2022-12-05 17:36:597096

使用VHDL實(shí)現(xiàn)數(shù)字電路設(shè)計(jì)的詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL實(shí)現(xiàn)數(shù)字電路設(shè)計(jì)的詳細(xì)資料說明包括了:ASIC技術(shù)的發(fā)展,電路系統(tǒng)設(shè)計(jì)方法,自定向下的設(shè)計(jì)流程,設(shè)計(jì)描述風(fēng)格。
2021-01-21 17:03:1814

VHDL硬件描述語言的學(xué)習(xí)課件免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言的學(xué)習(xí)課件免費(fèi)下載包括了:VHDL概述,VHDL數(shù)據(jù)類型與數(shù)據(jù)對(duì)象,VHDL命令語句
2021-01-22 08:00:005

用全掃描結(jié)構(gòu)(FULL SCAN METHOD)來實(shí)現(xiàn)數(shù)字電路

的生成(ATPG)以及測(cè)試的時(shí)序等諸多問題。并結(jié)合最常用的綜合工具 SYNOPSYS 中的 DFT COMPILER 部分,深入描述了為一數(shù)字電路芯片加入掃描部分和產(chǎn)生測(cè)試矢量集的具體流程。掃描結(jié)構(gòu)對(duì)數(shù)字電路結(jié)構(gòu)有一些限制,為了避免違反這些限制,文中羅列了所謂的設(shè)計(jì)規(guī)范,并詳細(xì)介紹了如
2021-03-26 14:48:1822

VHDL與Verilog硬件描述語言如何用TestBench來進(jìn)行仿真

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計(jì)中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計(jì)復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2021-08-04 14:16:443307

數(shù)字電路設(shè)計(jì)入門(fpga/asic)

的,呵呵。我們這里只討論數(shù)字電路設(shè)計(jì)。實(shí)際上就是如何把我們從課堂上學(xué)到的邏輯電路使用原理圖(很少有人用這個(gè)拉),或者硬件描述語言(Verilog/VHDL)來實(shí)現(xiàn),或許...
2021-11-06 11:36:0118

數(shù)字電路基礎(chǔ)

數(shù)字電路基礎(chǔ)
2022-03-21 15:12:36108

數(shù)字電路EDA入門之VHDL程序?qū)嵗?/a>

數(shù)字電路設(shè)計(jì)的基本流程

數(shù)字電路設(shè)計(jì)是數(shù)字電路最為關(guān)鍵及重要的一步,今天我們將從各個(gè)流程為大家介紹完整的數(shù)字電路設(shè)計(jì)!
2022-07-10 17:14:166046

vhdl描述半加器

vhdl描述半加器
2023-02-24 11:08:310

數(shù)字電路基礎(chǔ)知識(shí)概述

數(shù)字信號(hào)完成對(duì)數(shù)字量進(jìn)行邏輯運(yùn)算和算術(shù)運(yùn)算的電路稱為數(shù)字電路。由于它具有邏輯運(yùn)算和邏輯處理功能,所以又稱為數(shù)字邏輯電路。
2023-06-06 16:50:293984

VHDL與Verilog硬件描述語言TestBench的編寫

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計(jì)中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計(jì)復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2023-09-09 10:16:56721

eda技術(shù)與vhdl基礎(chǔ) eda的主要功能優(yōu)點(diǎn)

 VHDL (VHSIC Hardware Description Language),是一種硬件描述語言,可以用于描述電路結(jié)構(gòu)、功能和行為等,并進(jìn)行仿真和驗(yàn)證。VHDL具有規(guī)范性、綜合性和模擬性等特點(diǎn),已被廣泛應(yīng)用于數(shù)字電路的設(shè)計(jì)和仿真中。
2023-09-29 10:40:00554

已全部加載完成