電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>中端設(shè)計(jì)在IC開發(fā)中的價(jià)值和思考

中端設(shè)計(jì)在IC開發(fā)中的價(jià)值和思考

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

7805文資料_引腳圖_電路圖_封裝_PDF資料

7805文資料7805文資料第一價(jià)值網(wǎng)里面有。它是已經(jīng)IC網(wǎng)絡(luò)超市。里面有很多IC的產(chǎn)品信息和技術(shù)資料的,找IC都可以去看看的。7805引腳圖到"第一價(jià)值網(wǎng)&quot
2011-04-11 09:32:48

IC測(cè)試的基本原理是什么?

本文詳細(xì)介紹了芯片開發(fā)和生產(chǎn)過程IC測(cè)試基本原理。
2021-05-08 07:33:52

IC編程語(yǔ)言大匯總(更新

-----------------------------------------------------------3樓腳本語(yǔ)言篇IC設(shè)計(jì)是一個(gè)很復(fù)雜的過程,需要處理多種數(shù)據(jù)。為此,多種昂貴的EDA工具被開發(fā)出來用于IC設(shè)計(jì)的各個(gè)流程。如:Cadence
2014-07-12 16:53:21

IC驗(yàn)證現(xiàn)代IC設(shè)計(jì)流程的位置和作用

的反應(yīng)是否與特性列表和設(shè)計(jì)規(guī)格說明書中的一致,如中斷是否置起。DUT是否足夠穩(wěn)健,能夠從異常狀態(tài)恢復(fù)到正常的工作模式。關(guān)于《IC驗(yàn)證》就介紹到這里?。?!更多ic設(shè)計(jì)內(nèi)容請(qǐng)關(guān)注后續(xù)更新............
2020-12-01 14:39:13

FPGA開發(fā)

。 Lattice公司最近還發(fā)布了一個(gè)針對(duì)其最新SC系統(tǒng)級(jí)芯片系列FPGA器件的開發(fā)板。該開發(fā)板配有一款該系列產(chǎn)品更大的一款芯片SC25,該芯片采用900引腳的小間距BGA封裝。這款開發(fā)板是為那些將
2012-04-27 14:40:21

存儲(chǔ)主要產(chǎn)品及廠商巡禮-IBM篇

根據(jù)權(quán)威調(diào)研機(jī)構(gòu)的調(diào)研數(shù)據(jù)顯示,2008年,磁盤存儲(chǔ)市場(chǎng)繼續(xù)增長(zhǎng)迅速,相比高端磁盤存儲(chǔ)收入和銷量上甚至略勝一籌。磁盤存儲(chǔ)如此受寵,探究其直接原因在于這些產(chǎn)品市場(chǎng)上已經(jīng)有大量的安裝
2012-12-19 09:36:29

價(jià)值499元的SINA31s開發(fā)

`(小白第一次寫帖子)很榮幸"【搶樓活動(dòng)】芯靈思板塊正式開版,搶樓送價(jià)值499元開發(fā)套件"活動(dòng),獲得了價(jià)值499元的SINA31s開發(fā)板!在此發(fā)此帖曬曬開發(fā)板。由于還不會(huì)玩,所以相關(guān)經(jīng)驗(yàn)等學(xué)了再與你們分享了!`
2015-11-11 21:04:19

IC設(shè)計(jì)/驗(yàn)證怎么應(yīng)用ChatGPT呢?

,效果如下。要求: 寫一個(gè)perl腳本,文件名叫test.pl,查找當(dāng)前目錄下包含“abc”字符串的文件,將這些文件備份,備份名稱為原名稱后加“_bak”。備份完后,原有的文件包含“abc”字符串前
2023-02-21 15:16:46

DM8148開發(fā)板上,如何實(shí)現(xiàn)將ARM處理器的數(shù)據(jù)寫入DSP側(cè)的L2緩存?

各位大牛好, ? ? ? ? ?在做DM8148開發(fā)過程中,需要將ARM處理器輸出的數(shù)據(jù)寫入DSP的L2緩存,以便于DSP提高算法處理的速度。目前,我們的做法: DSP定義個(gè)buffer放在L2緩存; ARM根據(jù)內(nèi)存分配的指針直接將數(shù)據(jù)拷貝到定義的buffer;
2018-05-28 08:03:21

FPGA的開發(fā)如何對(duì)inout信號(hào)進(jìn)行賦值?

FPGA的開發(fā),如何對(duì)inout信號(hào)進(jìn)行賦值?
2023-04-23 14:25:00

NDK開發(fā)C++的代碼怎么實(shí)現(xiàn)日志輸出

NDK開發(fā)C++的代碼怎么實(shí)現(xiàn)日志輸出?實(shí)現(xiàn)方法是什么?
2021-09-30 07:04:48

RL電路為什么電阻兩與電感兩的電壓和大于電源電壓呢?

RL電路為什么電阻兩與電感兩的電壓和大于電源電壓呢?
2023-04-21 16:21:15

UCC2580-4的EAIN的最大輸入電流是多少?

UCC2580-4的EAIN的最大輸入電流是多少?超過最大電流會(huì)不會(huì)產(chǎn)生保護(hù)?
2019-07-23 14:47:31

esp8266內(nèi)置客戶WEB,請(qǐng)問有固件版本的要求嗎?

各位大神好!我想在8266內(nèi)置客戶WEB,請(qǐng)問有固件版本的要求嗎?WEB內(nèi)容是不是可以自己定制?有沒有相關(guān)方面的資料嗎?我在上看到《esp8266從零快速開發(fā)教程》,但是鏈接已經(jīng)失效了,有沒有相關(guān)的資料推薦,謝謝!
2023-11-08 08:07:35

國(guó)內(nèi)IC電子分銷,存在的問題

從2010年以后,國(guó)內(nèi)以深圳,北京,為IC電子主要的集市中心。在這兩個(gè)市場(chǎng),北京市相對(duì)往年的變化沒有多大。但是深圳,以華強(qiáng)北為主的市場(chǎng),出現(xiàn)了大量翻新,散新的IC電子原件,對(duì)于這些IC電子產(chǎn)品
2010-10-29 16:20:24

放大電路,輸入和輸出的電容起什么作用呢?

放大電路,輸入和輸出的電容起什么作用呢?
2023-04-25 11:06:41

思考驅(qū)動(dòng)創(chuàng)新,創(chuàng)新驅(qū)動(dòng)發(fā)展:基于假設(shè)(Assumption)的思考技術(shù)

時(shí),會(huì)從長(zhǎng)期記憶中提取相關(guān)的數(shù)據(jù)進(jìn)行溯因推理,來描述或解釋這一現(xiàn)象,科學(xué)思考和創(chuàng)新占有關(guān)鍵的地位。 溯因推理與設(shè)計(jì)師 皮爾斯對(duì)于新構(gòu)想的來源很感興趣。他認(rèn)為,新構(gòu)想不是來自于傳統(tǒng)的邏輯推理,因?yàn)?/div>
2020-12-21 10:23:41

ATmega128開發(fā)應(yīng)用應(yīng)注意的問題

ATmega128開發(fā)應(yīng)用應(yīng)注意的問題
2012-08-20 17:57:24

Aurix TC2752的機(jī)制是怎么樣的?有無(wú)固定的向量標(biāo)號(hào)和地址啊?

AurixTC2752的機(jī)制是怎么樣的?有無(wú)固定的向量標(biāo)號(hào)和地址?。渴穷愃朴陲w思卡爾或者28335那樣的嗎?
2024-02-05 06:33:09

C語(yǔ)言DSP開發(fā)的應(yīng)用是什么

DSP是什么?定點(diǎn)DSP和浮點(diǎn)DSP有何區(qū)別?C語(yǔ)言DSP開發(fā)的應(yīng)用是什么?
2021-10-15 07:47:36

Dubbo Cloud Native 之路的實(shí)踐與思考

時(shí),Netflix Eureka 或許是開發(fā)人員腦海中復(fù)現(xiàn)的首選方案。然而 Eureka 阿里大規(guī)模實(shí)踐時(shí),它的表現(xiàn)并不理想,當(dāng) Eureka 客戶服務(wù)實(shí)例數(shù)量達(dá)到一定時(shí),Eureka Server 時(shí)常
2018-07-05 16:05:33

HarmonyOS低代碼開發(fā)-已有工程添加Visual

使用低代碼開發(fā)應(yīng)用或服務(wù)有以下兩種開發(fā)方式:創(chuàng)建一個(gè)支持低代碼開發(fā)的新工程,開發(fā)應(yīng)用或服務(wù)的UI界面。已有工程,創(chuàng)建Visual文件來開發(fā)應(yīng)用或服務(wù)的UI界面。ArkTS工程和JS工程使用低代碼
2023-05-24 16:01:38

IGBT固態(tài)電源是如何保護(hù)電路的?且看IGBT損壞機(jī)理分析

、D4 必須采用快速恢復(fù)二極管。3 仿真及實(shí)驗(yàn)結(jié)果當(dāng)圖 1 所示的 PWM 變換器工作單相高頻整流模式下,應(yīng)用 PSPICE 仿真軟件對(duì)電路進(jìn)行仿真研究。仿真波形相當(dāng)于電路 IC5B 的第 7 腳
2019-12-25 17:41:38

MATLABFPGA開發(fā)的應(yīng)用

本帖最后由 eehome 于 2013-1-5 09:52 編輯 MATLABFPGA開發(fā)的應(yīng)用
2012-03-06 17:37:48

N32G430C8L7_STB開發(fā)

N32G430C8L7_STB開發(fā)板用于32位MCU N32G430C8L7的開發(fā)
2023-03-31 12:05:12

NETCONN_TCP客戶例程開發(fā)板上不可以正常運(yùn)行

探索者開發(fā)板的LWIP擴(kuò)展例程的網(wǎng)絡(luò)實(shí)驗(yàn)4 RAW_TCP客戶實(shí)驗(yàn)和網(wǎng)絡(luò)實(shí)驗(yàn)8 NETCONN_TCP 客戶例程開發(fā)板上不能正常運(yùn)行,請(qǐng)大家指教
2020-03-23 19:20:41

PCB電路設(shè)計(jì)IC代換技巧分析

進(jìn)行。如電視機(jī)的AGC、視頻信號(hào)輸出有正、負(fù)極性的區(qū)別,只要在輸出加接倒相器后即可代換。  3.類塑相同但引腳功能不同Ic的代換  這種代換需要改變外圍PCB電路及引腳排列,因而需要一定的理論知識(shí)
2015-01-14 14:37:00

PCB電路設(shè)計(jì),代換IC時(shí)技巧總結(jié)

、PCB電路功能相同但個(gè)別引腳功能不同lC的代換代換時(shí)可根據(jù)各個(gè)型號(hào)IC的具體參數(shù)及說明進(jìn)行。如電視機(jī)的AGC、視頻信號(hào)輸出有正、負(fù)極性的區(qū)別,只要在輸出加接倒相器后即可代換。3、類塑相同但引腳
2019-12-10 15:47:34

TEMIC的應(yīng)用?

1.薄膜厚度測(cè)量(<100nm)2.Wafer工藝開發(fā)的形貌觀察、尺寸測(cè)量3.微區(qū)成分分析4.微小缺陷(defect)分析
2021-12-08 15:26:57

Ubuntu的界面開發(fā)

原網(wǎng)址傳送門手動(dòng)分割線手動(dòng)分割線手動(dòng)分割線手動(dòng)分割線手動(dòng)分割線手動(dòng)分割線正片開始ROS librviz 人機(jī)交互軟件開發(fā)系列教程我們實(shí)現(xiàn)了Ubuntu的界面開發(fā)。但是很多小伙伴問到能不能在Windows上進(jìn)行開發(fā),當(dāng)時(shí)回復(fù)是不能,主要就是庫(kù)的依賴問題難解決。但是我想了想ROS是
2021-08-18 08:09:27

Veloce平臺(tái)大規(guī)模SOC仿真驗(yàn)證的應(yīng)用

Graphics公司Veloce驗(yàn)證平臺(tái)超大規(guī)模IC系統(tǒng)仿真驗(yàn)證的應(yīng)用。借助Veloce的高速和大容量的特性,極大的提高功能驗(yàn)證的效率,解決由于芯片規(guī)模大FPGA無(wú)法驗(yàn)證的問題,保證芯片的按時(shí)投片
2010-05-28 13:41:35

[原創(chuàng)]7805文資料_引腳圖_電路圖_封裝_PDF資料_7805電子技術(shù)資料

;7805文資料_引腳圖_電路圖_封裝_PDF資料7805文資料7805引腳圖7805電路圖7805封裝7805 PDF資料7805文資料7805文資料第一價(jià)值網(wǎng)里面有。它是已經(jīng)IC網(wǎng)絡(luò)超市
2010-11-03 15:42:09

[原創(chuàng)]7805三穩(wěn)壓器全國(guó)供應(yīng)商_第一價(jià)值網(wǎng)(www.ic-jiazhi.com) 7805資料|7805價(jià)格

第一價(jià)值網(wǎng)(www.ic-jiazhi.com)為您提供全國(guó)7805原裝現(xiàn)貨信息、價(jià)格參考,免費(fèi)PDF Datasheet資料下載,您能查看到7805供應(yīng)商營(yíng)業(yè)場(chǎng)所照片;這里有接受工程師小批量訂購(gòu)
2010-10-26 14:57:31

[原創(chuàng)]lm7805文資料_引腳圖_資料_封裝_PDF資料_lm7805電子技術(shù)資料

LM7805文資料第一價(jià)值網(wǎng)里面有。它是已經(jīng)IC網(wǎng)絡(luò)超市。里面有很多IC的產(chǎn)品信息和技術(shù)資料的,找IC都可以去看看的。LM7805引腳圖到"第一價(jià)值網(wǎng)"里搜索"
2010-11-03 15:42:51

cap的2和3它們的區(qū)別在什么地方呢?

Analog IC總會(huì)用到cap,小弟有一個(gè)問題。就是cap有分2和3的,那么它們的區(qū)別在什么地方呢?或者說各自都有什么優(yōu)缺點(diǎn)呢?請(qǐng)問,開關(guān)電容電路,如果對(duì)噪聲有要求,是不是用三的電容會(huì)更好一些呢?
2021-06-25 06:53:33

perl語(yǔ)言IC設(shè)計(jì)的應(yīng)用

,實(shí)際的IC設(shè)計(jì)作為輔助手段被廣泛采用講了perl語(yǔ)言IC設(shè)計(jì)的幾個(gè)應(yīng)用,主要在Verilog代碼擴(kuò)充和仿真測(cè)試等方面,對(duì)IC設(shè)計(jì)人員很有針對(duì)性,讓你能有目的性的學(xué)習(xí)腳本語(yǔ)言,適合入門領(lǐng)會(huì)。perl語(yǔ)言IC設(shè)計(jì)的應(yīng)用[hide][/hide]
2012-01-11 15:06:01

【轉(zhuǎn)】電路維修IC代換技巧

、PCB電路功能相同但個(gè)別引腳功能不同lC的代換代換時(shí)可根據(jù)各個(gè)型號(hào)IC的具體參數(shù)及說明進(jìn)行。如電視機(jī)的AGC、視頻信號(hào)輸出有正、負(fù)極性的區(qū)別,只要在輸出加接倒相器后即可代換。3、類塑相同但引腳
2018-03-10 21:40:38

為什么同一個(gè)單管IC617和dynamic link的ADS2016參數(shù)不同?

 為什么同一個(gè)單管IC617和dynamic link 的ADS2016參數(shù)不同那?同一個(gè)單管,IC617仿S參數(shù)仿真,和dynamic link出去ADS2016仿真,得到的S參數(shù)有0.2dB的誤差哪?        
2021-06-24 06:43:31

云計(jì)算在電信應(yīng)用思考,不看肯定后悔

云計(jì)算在電信應(yīng)用思考,不看肯定后悔
2021-05-31 07:00:16

使用mpremote工具VScode開發(fā)MicroPython,基礎(chǔ)用例

在VScode,只需打開終端即可開始BPI-Leaf-S3開發(fā)板上調(diào)試MicroPython代碼。演示視頻安裝安裝標(biāo)準(zhǔn)python3環(huán)境** **https://www.python.org
2022-09-07 10:50:33

光耦并口長(zhǎng)線傳輸的應(yīng)用

,是解決上述問題的較好方法。采用光電隔離電路,可去掉數(shù)據(jù)交換的兩設(shè)備之間的公共地線,使兩設(shè)備電氣隔離翻。同時(shí),電→光→電信號(hào)的轉(zhuǎn)換,就光電耦合器件而言,只要其輸入有一定的電流,其輸出就能輸出相應(yīng)的數(shù)字信號(hào)
2012-08-09 16:45:18

光耦開關(guān)電源的應(yīng)用

、下降率。這個(gè)參數(shù)主要在工業(yè)電機(jī)應(yīng)用至關(guān)重要。例如電機(jī)的啟動(dòng)或者制動(dòng)過程中都會(huì)帶來極大的共模噪聲。 二、光耦開關(guān)電源的應(yīng)用 光耦的特點(diǎn):具有信號(hào)單向傳輸性,從而實(shí)現(xiàn)輸入與輸出的電氣隔離,即
2018-11-21 16:33:13

關(guān)于IC LVDS接口的傳輸速率

關(guān)于IC LVDS接口的傳輸速率最大最小值,是由什么決定的,IC的制程嗎
2019-06-22 21:46:02

關(guān)于用匯編開發(fā)F28035工程中斷程序調(diào)用函數(shù)的問題

本人用匯編開發(fā)F28035工程,中斷調(diào)用函數(shù)時(shí)燒寫后運(yùn)行出現(xiàn)故障(好像是跑飛一樣),排除現(xiàn)場(chǎng)的保存問題,同樣的工程F2406運(yùn)行正常,開發(fā)環(huán)境為CCS3.3.38.20。哪位高手知道原因請(qǐng)指點(diǎn)一下,謝謝。
2015-04-08 14:27:17

反激電路逆變電源的應(yīng)用

~1kW)而言,單反激電路具有一定優(yōu)勢(shì),如:電路簡(jiǎn)單、控制方便、效率高等。本文就將以24V電池供電,輸出350V/1kW為例,解析單反激電路逆變電源前級(jí)DC/DC電路的應(yīng)用。常規(guī)單反激電路結(jié)構(gòu)
2019-05-24 08:30:00

單片機(jī)開發(fā)多功能按鍵的相關(guān)資料推薦

目錄單擊、雙擊、長(zhǎng)按原理解析程序源碼思考總結(jié)引言:很多項(xiàng)目開發(fā)過程中我們通常會(huì)涉及到按鍵的使用,為了使按鍵的功能更多遠(yuǎn)化我們通常會(huì)區(qū)別按鍵的單擊、雙擊、長(zhǎng)按等操作過程從而實(shí)現(xiàn)更多的功能?,F(xiàn)在讓我們
2021-11-22 06:58:43

如何使用coLinuxWindows環(huán)境創(chuàng)建一個(gè)Linux嵌入式開發(fā)環(huán)境?

本文通過使用 coLinux Windows環(huán)境創(chuàng)建一個(gè)運(yùn)行高效、配置簡(jiǎn)單的 Linux嵌入式開發(fā)環(huán)境,不但避免了開發(fā)人員安裝 Windows+Linux雙系統(tǒng)后頻繁重新啟動(dòng)計(jì)算機(jī)切換操作系統(tǒng)
2021-04-23 06:29:04

如何準(zhǔn)確判斷電路IC工作?

如何準(zhǔn)確判斷電路IC工作?是好是壞是修理電視、音響、錄像設(shè)備的一個(gè)重要內(nèi)容,判斷不準(zhǔn),往往花大力氣換上新集成電路而故障依然存在,所以要對(duì)集成電路作出正確判斷。1、首先要掌握該電路IC的用途
2017-12-19 14:13:05

如何判斷電路IC工作?

部位,再按部位查找故障元件。有時(shí)需要多種判斷方法去證明該器件是否確屬損壞?! ?、一般對(duì)電路IC的檢查判斷方法有兩種:一是不在線判斷,即電路IC未焊入印刷電路板的判斷。這種方法沒有專用儀器設(shè)備
2017-12-19 11:12:04

如何在PL開發(fā)程序

”。對(duì)于ZYNQ來說PL(FPGA)開發(fā)是至關(guān)重要的,這也是ZYNQ比其他ARM的有優(yōu)勢(shì)的地方,可以定制化很多ARM的外設(shè),定制ARM的外設(shè)之前先讓我們通過一個(gè)LED例程來熟悉PL(FPGA)的開發(fā)
2021-01-22 07:11:49

如何培養(yǎng)<龍傳人>的科學(xué)創(chuàng)新思考技術(shù)

是不是偽科學(xué)呢? 國(guó)學(xué)與科學(xué)都是人類創(chuàng)造力的優(yōu)越表現(xiàn),背后都有高度的創(chuàng)新思考力來支撐。國(guó)學(xué)與科學(xué)是人們創(chuàng)新思考的兩種不一樣的題材而已,重要的是他們背后的創(chuàng)新思維。創(chuàng)新思維里,扮演著關(guān)鍵的角色。人們
2020-12-28 09:45:03

如何生成stm32.Plz讀取和寫入EEPROM 24c16 IC的功能呢?

stm32是否可以通過設(shè)置I2C的SCL和SDA引腳為高電平和低電平來讀寫EEPROM IC。如果可能的話,請(qǐng)幫助我如何做到這一點(diǎn)以及如何生成 stm32.Plz 讀取和寫入 EEPROM 24c16 IC 的功能。請(qǐng)幫助我。謝謝
2023-01-09 08:04:29

如何用NUCLEO板的相同IC替換STM32F401RE IC?

我使用的是 NUCLEO-64 stm32f401,由于舊的 IC 損壞,我不得不用同類型的新 IC 更換 IC。焊接新 IC 后,我下載了我的 l 測(cè)試代碼(LED 閃爍),但調(diào)試器
2023-01-16 07:01:29

如何解決PCF8579 LCD Driver IC的錯(cuò)誤?

我正在為 LCD 顯示器開發(fā)固件,其中 PCF8579 用作驅(qū)動(dòng)器 IC。我的應(yīng)用程序,一切正常;然而,PCF8579(1) 在其段引腳 (0,1,2) 上生成了不必要的信號(hào),而沒有 RAM
2023-04-04 07:21:56

求教,AD怎么畫靜電放電

最近在學(xué)習(xí)畫PCB,用的是AD9軟件,新人菜鳥一枚,遇到ESD放電,不知道PCB怎么畫,我畫的是開關(guān)電源,請(qǐng)問開槽一般怎么開
2013-11-29 09:46:18

浩辰CAD看圖王手機(jī)版添加的圖片批注電腦如何查看?

`使用CAD看圖軟件浩辰CAD看圖王APP批注功能的過程,有時(shí)候?yàn)榱薈AD圖紙能更清晰的展示,會(huì)需要在批注的時(shí)候插入圖片說明,所以說特定場(chǎng)合下需要用電腦打開,應(yīng)該如何查看在手機(jī)添加的圖片
2020-10-29 17:31:51

電容ESD的應(yīng)用—耦合效應(yīng)

測(cè)試平板電腦ESD的過程,我們時(shí)常會(huì)遇到這樣的現(xiàn)象:平板電腦的放置方式對(duì)測(cè)試結(jié)果會(huì)有絕然不同的影響。將平板電腦Panel朝上時(shí),ESD幾槍就會(huì)死機(jī);將Panel朝下時(shí),正負(fù)電壓各放電幾十次都沒
2014-02-20 11:23:55

電容電路的27應(yīng)用,你學(xué)會(huì)了嗎?

。 濾波電容2. 退耦電容:并接于放大電路的電源正負(fù)極之間,防止由電源內(nèi)阻形成的正反饋而引起的寄生振蕩。 退耦電容3. 旁路電容:交直流信號(hào)的電路,將電容并接在電阻兩或由電路的某點(diǎn)跨接到公共電位上
2019-09-16 11:16:18

電源IC便攜式移動(dòng)設(shè)備領(lǐng)域的應(yīng)用

方案有必要從本錢、功能和商品上市時(shí)刻等整個(gè)體系規(guī)劃來思考;  2. 便攜式移動(dòng)設(shè)備日趨細(xì)巧輕便化,必需思考電源方案電源體積小、重量輕的問題;  3. 選擇電源IC力求高集成度、高可靠性、低噪聲、抗干擾
2015-09-29 15:19:39

電源IC便攜式移動(dòng)設(shè)備領(lǐng)域的應(yīng)用

,需思考以下幾點(diǎn):  1. 規(guī)劃電源方案有必要從本錢、功能和商品上市時(shí)刻等整個(gè)體系規(guī)劃來思考;  2. 便攜式移動(dòng)設(shè)備日趨細(xì)巧輕便化,必需思考電源方案電源體積小、重量輕的問題;  3. 選擇電源IC
2015-10-10 10:44:42

電路設(shè)計(jì)的一點(diǎn)思考

。EDNChina BLOG:電路設(shè)計(jì)的一點(diǎn)思考 :http://bbs.ednchina.com/BLOG_ARTICLE_3002571.HTM?clickfrom=ef
2012-03-26 11:17:34

簡(jiǎn)單之美——軟件開發(fā)實(shí)踐者的思考

` 本帖最后由 zgzzlt 于 2012-8-16 14:01 編輯 簡(jiǎn)單之美——軟件開發(fā)實(shí)踐者的思考`
2012-08-06 12:29:59

編程:思考還是打字

問題域中,這是很有效的方式。 思考與打字思考與打字是軟件開發(fā)的兩個(gè)重要組成部分。因?yàn)檫@會(huì)促使人們?cè)诖蜃值耐瑫r(shí)又在思考:· 兩個(gè)人都在思考,但思考的卻是不同的事情。一個(gè)開發(fā)者拿著鍵盤,腦子里想著他正在
2014-12-16 17:22:13

編解碼IC無(wú)線智能報(bào)警系統(tǒng)的應(yīng)用

編解碼IC無(wú)線智能報(bào)警系統(tǒng)的應(yīng)用&nbsp;編解碼IC無(wú)線智能報(bào)警系統(tǒng)的應(yīng)用華南師范大學(xué) 吳文佳引言由于防盜報(bào)警設(shè)備對(duì)于保護(hù)生命和財(cái)產(chǎn)安全起到重要的作用,因此它得到越來越廣泛
2008-09-02 10:55:21

請(qǐng)問POWERPCB如何制作綁定IC封裝?

POWERPCB如何制作綁定IC封裝?
2021-04-26 07:11:09

請(qǐng)問整個(gè)設(shè)計(jì)流程如何控制IC的功耗?

請(qǐng)問整個(gè)設(shè)計(jì)流程如何控制IC的功耗?
2021-04-14 07:35:02

請(qǐng)問如何準(zhǔn)確判斷電路IC是好是壞?

請(qǐng)問如何準(zhǔn)確判斷電路IC是好是壞?
2021-06-18 06:09:21

賽思互動(dòng):客戶價(jià)值CRM系統(tǒng)的重要性

需要考慮企業(yè)產(chǎn)業(yè)價(jià)值的競(jìng)爭(zhēng)態(tài)勢(shì),盡管我們期望企業(yè)能夠意識(shí)到客戶關(guān)系的重要性并且能夠?yàn)樯鐣?huì)生產(chǎn)力進(jìn)步提供更好的產(chǎn)品和服務(wù),但如果在供不應(yīng)求的年代、或深度壟斷的企業(yè),誰(shuí)還能希望企業(yè)能夠做得更多,誰(shuí)還會(huì)
2017-06-17 10:15:06

跪求 SIW公司的SW5516 IC

小弟不才,不小心將顯示器panel的converter 的SW5516給弄死了,現(xiàn)在公司要我修好,不然就得自己賠錢啊,那個(gè)panel 是LG Display的,價(jià)值好幾萬(wàn)?。∧奈淮髠b有這塊IC的資料和知道去哪里買這塊IC?。?! 萬(wàn)分感謝!??!
2012-09-08 10:02:50

采購(gòu)三穩(wěn)壓IC,小家電控制板企業(yè)如何降低成本?

對(duì)三穩(wěn)壓IC的品質(zhì)要求很高。 原裝進(jìn)口的ST品牌三穩(wěn)壓IC(LM7805,價(jià)格0.8-1.2元左右,起跑線上采購(gòu)成本就降不下來。品質(zhì)好,價(jià)格高,成本降不下來,)國(guó)產(chǎn)防冒ST,品質(zhì)不穩(wěn)定,價(jià)格便宜
2011-07-06 15:56:32

高通最新芯片

高通最新芯片,臺(tái)媒報(bào)道指高通的新款高端芯片驍龍875已在臺(tái)積電投產(chǎn),預(yù)計(jì)將在9月份發(fā)布,中國(guó)手機(jī)企業(yè)小米將首發(fā)這款芯片,小米似乎有意借這款芯片之勢(shì)趁機(jī)從華為手里搶奪高端手機(jī)市場(chǎng)份額。驍龍875將是高通...
2021-07-28 06:39:35

高精度電流傳感器核磁共振成像設(shè)備的應(yīng)用

許多工業(yè)應(yīng)用,高精度的電流測(cè)量是設(shè)備性能的關(guān)鍵.微小的誤差會(huì)引起重大事故,特別是醫(yī)療設(shè)備(MRI等)。核磁共振成像設(shè)備的質(zhì)量和電流的測(cè)量精度直接相關(guān),因此也和電流傳感器的參數(shù)直接相關(guān)。如果傳感器
2021-12-09 16:13:47

編碼器智能AGV機(jī)器人應(yīng)用解決方案

              從倉(cāng)儲(chǔ)物流中心到倉(cāng)儲(chǔ)和機(jī)場(chǎng),物流管理系統(tǒng)安全可靠的原材料處理是相當(dāng)有必要的,貨物務(wù)必被
2022-06-27 14:33:45

激光跟蹤儀工業(yè)的應(yīng)用

系統(tǒng)和激光測(cè)距系統(tǒng)來確定空間點(diǎn)的坐標(biāo),從而實(shí)現(xiàn)完整的測(cè)量過程。 圖儀器GTS激光跟蹤儀集激光干涉測(cè)距技術(shù)、光電檢測(cè)技術(shù)、精密機(jī)械技術(shù)、計(jì)算機(jī)及控制技術(shù)
2022-06-30 14:50:49

激光跟蹤儀機(jī)械裝配的應(yīng)用

機(jī)床導(dǎo)軌直線度,轉(zhuǎn)臺(tái)軸向,相互垂直度,徑向跳動(dòng)等。實(shí)際加工過程可以使用激光跟蹤儀實(shí)測(cè)被加工零件尺寸,以此做為機(jī)床加工進(jìn)給量的依據(jù),此時(shí)激光跟蹤儀由檢測(cè)設(shè)備轉(zhuǎn)變?yōu)楣?/div>
2022-06-30 14:56:40

T12電烙鐵控模塊#電子元器件 #電子技術(shù) #芯片 #電子工程師

烙鐵控系統(tǒng)工具使用
jf_21394466發(fā)布于 2022-08-19 14:06:29

圖機(jī)床測(cè)頭

輕松實(shí)現(xiàn)找正定位、分機(jī)測(cè)量,提高生產(chǎn)效率。 圖機(jī)床測(cè)頭性能特點(diǎn)高重復(fù)精度:?jiǎn)蜗蛑貜?fù)精度
2023-06-12 13:47:37

718.如何利用HomeAssistant,讓你的閑置樹莓派成為智能控?

控系統(tǒng)樹莓派
小凡發(fā)布于 2022-10-05 01:53:42

工業(yè)控制電壓一般是多少伏?#硬聲創(chuàng)作季

電工技術(shù)控系統(tǒng)
電子知識(shí)科普發(fā)布于 2022-10-16 09:20:42

大眾控187B為何價(jià)格會(huì)反好幾倍# 硬聲創(chuàng)作季

電工技術(shù)控系統(tǒng)
電子學(xué)習(xí)發(fā)布于 2022-11-14 12:42:16

大眾控改家用 大屏價(jià)格便宜功能強(qiáng)大# 硬聲創(chuàng)作季

電工技術(shù)控系統(tǒng)
電子學(xué)習(xí)發(fā)布于 2022-11-14 12:52:40

汽車車身控制與舒適性系統(tǒng)檢修:控門鎖系統(tǒng)控制電路#汽車維修

汽車電子控系統(tǒng)
學(xué)習(xí)電子發(fā)布于 2022-11-20 15:15:49

汽車車身控制與舒適性系統(tǒng)檢修:控門鎖系統(tǒng)故障診斷和排除#汽車維修

汽車電子控系統(tǒng)
學(xué)習(xí)電子發(fā)布于 2022-11-20 15:16:47

別克君越控開關(guān)失靈,什么情況怎么解決

開關(guān)
YS YYDS發(fā)布于 2023-06-23 00:38:57

IC開發(fā)的設(shè)計(jì)流程是怎樣的

如今,ic以更快速度、更小體量、更大容量“活躍”在人們視線中,其復(fù)雜程度遠(yuǎn)超人們想象,那么,ic開發(fā)難嗎?ic開發(fā)的流程又是怎樣的呢?
2022-05-25 16:52:032391

中端設(shè)計(jì)在IC開發(fā)中的價(jià)值思考

IC設(shè)計(jì)中通?;谠O(shè)計(jì)時(shí)間線/業(yè)務(wù)線分為前端設(shè)計(jì)和后端實(shí)現(xiàn),這個(gè)也是大家通常所能理解和接受的。
2023-06-06 10:04:18249

已全部加載完成