0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于EUV的性能分析和挑戰(zhàn)

半導(dǎo)體科技評(píng)論 ? 來(lái)源:djl ? 作者:semiengineering ? 2019-09-03 09:34 ? 次閱讀

隨機(jī)變化需要新方法、新工具,以及不同公司之間的合作。

極紫外(EUV)光刻技術(shù)正在接近生產(chǎn),但是隨機(jī)性變化——又稱為隨機(jī)效應(yīng)正在重新浮出水面,并為這項(xiàng)期待已久的技術(shù)帶來(lái)了更多的挑戰(zhàn)。

GlobalFoundries、英特爾三星和臺(tái)積電希望將EUV光刻技術(shù)加入到7nm和5nm生產(chǎn)中。但就像以前一樣,EUV由幾部分組件組成,在芯片制造商能夠引入之前,它們必須整合在一起。包括光刻機(jī)、光源、光刻膠和掩膜。最近,行業(yè)已經(jīng)開(kāi)始發(fā)布關(guān)于量子隨機(jī)效應(yīng)的警報(bào),這種現(xiàn)象會(huì)引起光刻圖案隨機(jī)變化。

有些組件已經(jīng)準(zhǔn)備就緒,而有些則發(fā)展緩慢。事實(shí)上,EUV團(tuán)隊(duì)首次將光刻膠及其相關(guān)問(wèn)題列為EUV的最大挑戰(zhàn),超過(guò)了電源。經(jīng)過(guò)多年的推遲,EUV光源功率終于滿足了大批量生產(chǎn)(HVM)的要求。

光刻膠是用來(lái)制作圖案的光敏聚合物,它是造成隨機(jī)性效應(yīng)的罪魁禍?zhǔn)字?。根?jù)定義,隨機(jī)效應(yīng)描述了具有光量子隨機(jī)變化的事件。它們是不可預(yù)測(cè)的,沒(méi)有穩(wěn)定的模式。

在EUV的情況下,光子擊中光刻膠并引起光化學(xué)反應(yīng)。但是對(duì)于EUV光刻膠而言,由于量子非定域效應(yīng),每個(gè)或多個(gè)反應(yīng)期間可能出現(xiàn)新的不同的反應(yīng)。因此EUV容易發(fā)生涉及隨機(jī)效應(yīng)。一般來(lái)說(shuō),該行業(yè)將隨機(jī)性主要?dú)w咎于光刻膠,但EUV的光掩膜和其他部分(EUV光子平均自由程較大)也可能會(huì)出現(xiàn)隨機(jī)變量。

隨機(jī)效應(yīng)并不新鮮。事實(shí)上,這一現(xiàn)象多年來(lái)一直困擾著EUV團(tuán)隊(duì)。眾所周知,隨機(jī)效應(yīng)會(huì)導(dǎo)致光刻圖案的變化。行業(yè)一直在努力解決這個(gè)問(wèn)題,但人們要么低估了問(wèn)題,要么沒(méi)能及時(shí)解決問(wèn)題,要么兩者兼而有之。

新情況是,行業(yè)終于迎來(lái)了另一個(gè)問(wèn)題。一顆先進(jìn)的邏輯芯片集成了十億個(gè)甚至更多的微小通孔。 如果EUV光刻過(guò)程中出現(xiàn)問(wèn)題,芯片可能會(huì)遭受由于隨機(jī)效應(yīng)引發(fā)的失效或缺陷(通孔缺失contact missing)。換言之,一顆芯片可能會(huì)因?yàn)橐粋€(gè)觸點(diǎn)通孔的缺陷而失效。

這可能是一廂情愿的想法,但芯片制造商相信他們可以躲避7nm工藝節(jié)點(diǎn)潛在的由隨機(jī)性引發(fā)的缺陷。事實(shí)上,EUV可能出現(xiàn)在7nm工藝節(jié)點(diǎn)。但在5nm甚至是7nm工藝節(jié)點(diǎn)的情況下,芯片制造商可能無(wú)法避免這些和其他問(wèn)題,除非行業(yè)出現(xiàn)一些新的突破。GlobalFoundries高級(jí)研究員兼高級(jí)技術(shù)研究總監(jiān)Harry Levinson表示:“公平地講,我們的行業(yè)非常樂(lè)觀地看待我們向EUV光刻前進(jìn)的方向。我們正準(zhǔn)備將第一代引入到大批量生產(chǎn)中,展望第二代EUV光刻技術(shù),抵抗隨機(jī)效應(yīng)絕對(duì)是最重要的問(wèn)題之一?!?/p>

無(wú)論節(jié)點(diǎn)如何,EUV隨機(jī)效應(yīng)都為芯片制造商、晶圓廠工具供應(yīng)商和IC設(shè)計(jì)團(tuán)體帶來(lái)了麻煩。西門(mén)子公司DFM項(xiàng)目總監(jiān)David Abercrombie表示:“從設(shè)計(jì)的角度來(lái)看,隨機(jī)效應(yīng)確實(shí)是隨機(jī)的,因?yàn)槟銦o(wú)法預(yù)測(cè)變化的位置和數(shù)量。因此,沒(méi)有系統(tǒng)的方法可以說(shuō)一個(gè)特定的布局特征應(yīng)該在這個(gè)區(qū)域還是在另一個(gè)區(qū)域中進(jìn)行修改。換言之,除了避免的所有敏感特性的出現(xiàn),將其轉(zhuǎn)化成傳統(tǒng)設(shè)計(jì)規(guī)則約束以外,完全沒(méi)有辦法在設(shè)計(jì)過(guò)程中補(bǔ)償隨機(jī)效應(yīng)影響。”

作為回應(yīng),該行業(yè)正在采取措施解決一些問(wèn)題。其中包括:

? 供應(yīng)商正在改進(jìn)EUV光刻膠。

? Applied Materials和ASML正在開(kāi)發(fā)一種新的電子束測(cè)量工具,承諾可以檢測(cè)出隨機(jī)性缺陷。此外,創(chuàng)業(yè)公司Fractilia已經(jīng)設(shè)計(jì)了一種方法來(lái)輔助測(cè)量。

? 然后,通過(guò)這些新的測(cè)量數(shù)據(jù),芯片制造商請(qǐng)求有競(jìng)爭(zhēng)力的晶圓廠工具供應(yīng)商進(jìn)行合作,并一起對(duì)信息進(jìn)行整合。

為什么是EUV?

芯片制造商需要EUV,因?yàn)槭褂媒裉斓墓饪碳夹g(shù)來(lái)繪制微小特征變得越來(lái)越困難。

最初,芯片制造商將把今天的193nm沉浸式光刻和多重曝光擴(kuò)展到10nm和7nm工藝節(jié)點(diǎn)。這些技術(shù)是可行的,但是使用它們來(lái)實(shí)現(xiàn)特定圖形變得更加困難。因此,芯片制造商最初希望將EUV用于器件的通孔層。他們將繼續(xù)在其他部分使用沉浸式光刻和多重曝光。

根據(jù)GlobalFoundries的數(shù)據(jù),為了處理觸點(diǎn)/通孔,在今天的7nm工藝節(jié)點(diǎn)中,每層需要2到4個(gè)掩膜。但是,EUV每層只需要一個(gè)掩膜。

EUV的引入取決于技術(shù)的成熟程度。今天,ASML正在出貨其首款量產(chǎn)EUV光刻機(jī),NXE:3400B。13.5nm波長(zhǎng),擁有13nm光刻圖形分辨率。

EUV光刻機(jī)可以曝光出優(yōu)良的圖形,但多年來(lái)EUV光源沒(méi)有產(chǎn)生足夠的功率。這影響了系統(tǒng)的整體生產(chǎn)率?,F(xiàn)在,ASML正在出貨一個(gè)246瓦的EUV光源,生產(chǎn)率為125片晶圓/每小時(shí)(wph)。這達(dá)到了HVM大規(guī)模量產(chǎn)的目標(biāo)水平。

然而,挑戰(zhàn)遠(yuǎn)未結(jié)束。今天的193nm光刻機(jī)可以在250wph下不間斷運(yùn)行。然而,EUV的正常運(yùn)行時(shí)間徘徊在70%和80%左右。ASML產(chǎn)品營(yíng)銷總監(jiān)Michael Lercel表示:“我們已經(jīng)證明我們可以實(shí)現(xiàn)生產(chǎn)率指標(biāo)。今年的重點(diǎn)是確保實(shí)現(xiàn)可用性。我們的目標(biāo)是達(dá)到90%以上的可用性?!?/p>

此外,EUV掩膜版保護(hù)薄膜還沒(méi)有準(zhǔn)備好?!氨∧ふ谶M(jìn)步。雖然透光率仍然很低,但是我們已經(jīng)證明了這些薄膜可以在245瓦的條件下使用。在采用一些新材料的離線測(cè)試中,我們認(rèn)為它們甚至可以超過(guò)300瓦?!?/p>

光子計(jì)數(shù)

光刻膠是另一個(gè)挑戰(zhàn)。多年來(lái),行業(yè)在248nm和193nm的光刻中使用了化學(xué)放大型光刻膠(CAR)。

簡(jiǎn)而言之,光刻光源產(chǎn)生光子或光粒子。光子撞擊光化學(xué)放大型光刻膠,產(chǎn)生光酸。然后,化學(xué)放大型光刻膠在曝光后的烘烤過(guò)程中進(jìn)行光酸催化反應(yīng)。

可用于EUV的化學(xué)放大型光刻膠經(jīng)歷類似的過(guò)程之后會(huì)有不同的結(jié)果。IMEC先進(jìn)圖案部門(mén)主管Gregory McIntyre表示:“在EUV案例中,情況要復(fù)雜得多,而且不是很好理解。你要有更高能量的光子,它會(huì)產(chǎn)生高能電子,并迅速躍遷為低能量電子。然后這些電子就會(huì)與被撞擊的物質(zhì)相互作用。這里有很多的未知因素,比如產(chǎn)生了多少電子,能量是多少,更重要的是,這些電子會(huì)產(chǎn)生什么樣的化學(xué)反應(yīng)?!?/p>

另一種解釋是,當(dāng)系統(tǒng)將光刻膠暴露于EUV光照射下,將一定數(shù)量的光子送入了光刻膠。理想情況下,這些光子會(huì)均勻分散。但是光刻膠的一點(diǎn)可能會(huì)吸收10個(gè)光子,而另一個(gè)點(diǎn)可能會(huì)吸收8個(gè)光子。這種不希望的結(jié)果被稱為量子隨機(jī)效應(yīng)(量子漲落)。

關(guān)于EUV的性能分析和挑戰(zhàn)

圖1:隨機(jī)性圖像。 (來(lái)源:Fractilia,GlobalFoundries)

在另一個(gè)例子中,假設(shè)EUV光在三個(gè)連續(xù)和單獨(dú)的事件中擊中光刻膠。在第一個(gè)事件中,光刻膠吸收10個(gè)光子。第二次吸收9個(gè)光子,第三次吸收11個(gè)光子。這種從一個(gè)事件到下一個(gè)事件的變化稱為光子散射噪聲現(xiàn)象。

如果將這些事件繪制在圖表曲線上,那么光子的分布有時(shí)是不理想的。McIntyre表示:“隨著我們走向越來(lái)越小的特征尺寸,我們會(huì)發(fā)現(xiàn)高斯分布開(kāi)始長(zhǎng)出一條尾巴,并且在一邊變得不對(duì)稱。這種尾巴的增長(zhǎng)導(dǎo)致極不可能發(fā)生事件的可能性增加?!?隨機(jī)漲落效應(yīng)的影響大大增加)

關(guān)于EUV的性能分析和挑戰(zhàn)

圖2:帶尾巴的高斯分布。右邊的圖表基于1B數(shù)據(jù)點(diǎn)。(來(lái)源:GlobalFoundries)

多年前,隨機(jī)效應(yīng)和散射噪聲并沒(méi)有出現(xiàn)在雷達(dá)屏幕上,但問(wèn)題開(kāi)始出現(xiàn)在193nm光刻技術(shù)中。在193nm處,芯片制造商在光刻圖形邊緣附近使用10mJ/cm2的劑量。Fractilia的首席技術(shù)官Chris Mack解釋說(shuō):“如果觀察1nm2的面積,那么在整個(gè)曝光過(guò)程中,平均有97個(gè)光子會(huì)穿過(guò)該區(qū)域進(jìn)入光刻膠。但是如果觀察10nm2的面積,平均會(huì)有9700個(gè)光子?!?/p>

因此,根據(jù)Mack的說(shuō)法,當(dāng)有足夠數(shù)量的光子來(lái)生成一個(gè)圖案的時(shí)候,那么光子散射噪聲或隨機(jī)變異則只有1%。(在大量粒子統(tǒng)計(jì)情況下,量子漲落可以微不足道)

然而,EUV光子的每個(gè)光子的能量比193nm的光子高14倍。Mack表示:“這意味著,對(duì)于相同的劑量,EUV的光子數(shù)量要少14倍。因此,在上例中,我們有97個(gè)光子暴露在1nm2的區(qū)域,而EUV中只有7個(gè)光子。相對(duì)不確定性是光子數(shù)的平方根分之一。對(duì)于97個(gè)光子,這是+/-10%的不確定性。對(duì)于7個(gè)光子,不確定性為+/-40%。”

使得問(wèn)題復(fù)雜的是,每個(gè)節(jié)點(diǎn)的特征尺寸都要更小一些。當(dāng)你計(jì)算光刻過(guò)程中光子的數(shù)量時(shí)會(huì)發(fā)現(xiàn),在這一點(diǎn)上的變化呈指數(shù)級(jí)上升。

這并不新鮮。多年來(lái),Mack和其他人都警告說(shuō):“EUV隨機(jī)效應(yīng)可能導(dǎo)致圖案成像中不希望的邊緣粗糙度(LER)。LER被定義為圖案邊緣與理想形狀的偏差?!?/p>

LER會(huì)影響晶體管的性能。此外,LER不隨著特征大小微縮,因此它在每個(gè)節(jié)點(diǎn)的圖案中會(huì)占據(jù)更大的百分比。

圖3:線邊緣粗糙度(LER)。 (來(lái)源:Lithoguru,F(xiàn)ractilia)

除了LER之外,業(yè)內(nèi)現(xiàn)在還擔(dān)心芯片的其他部分,特別是觸點(diǎn)通孔。在操作中,EUV光刻機(jī)產(chǎn)生對(duì)接觸孔進(jìn)行圖案化的光子。但有時(shí),這一過(guò)程并不完美,導(dǎo)致通孔中存在隨機(jī)性缺陷。這些缺陷表現(xiàn)為斷線或通孔合并,有時(shí)稱為“通孔丟失和通孔接觸”。

關(guān)于EUV的性能分析和挑戰(zhàn)

圖4:隨機(jī)性失效和收縮工藝窗口 (來(lái)源:Imec)

這些缺陷是災(zāi)難性的。Mack表示:“接觸孔是一個(gè)小點(diǎn),你要放一些光子。但是如果只有少量光子,接觸孔有時(shí)會(huì)得到100個(gè)光子,有時(shí)會(huì)是80個(gè),有時(shí)會(huì)是140個(gè),結(jié)果就是接觸孔大小的變化?!?/p>

這些缺陷可能會(huì)在7nm工藝節(jié)點(diǎn)出現(xiàn),但它們更可能在5nm及更先進(jìn)的節(jié)點(diǎn)處出現(xiàn)。Mentor的Abercrombie表示:“EUV中的隨機(jī)效應(yīng)實(shí)際上在CD控制的正常劑量/聚焦窗口上添加了隨機(jī)變化,以及額外的線邊緣粗糙度和光刻劑量變化。對(duì)于工程師來(lái)說(shuō),這意味著更少的工藝窗口,它可以轉(zhuǎn)化為更復(fù)雜的DRC設(shè)計(jì)規(guī)則和更少的工藝縮減?!?/p>

Abercrombie表示:“這使得以設(shè)計(jì)為導(dǎo)向的對(duì)策非常無(wú)效,因?yàn)槟銦o(wú)法預(yù)測(cè)在任何特定布局位置或配置中會(huì)發(fā)生什么情況,因此無(wú)法對(duì)其進(jìn)行修改。事實(shí)上,由于隨機(jī)效應(yīng)可能會(huì)對(duì)目標(biāo)平均值產(chǎn)生正負(fù)偏差和LER影響,因此,根據(jù)情況在一個(gè)特定位置進(jìn)行修改可能造成的傷害跟益處一樣多。隨機(jī)效應(yīng)將主要成為決定哪些層將使用哪種光刻/多重曝光技術(shù)來(lái)實(shí)現(xiàn)驗(yàn)證流程節(jié)點(diǎn)所需的面積和產(chǎn)量要求的重要因素?!?/p>

新的解決方案?

解決隨機(jī)問(wèn)題的一種方法是使用更高曝光能量的EUV光刻膠。理想情況下,芯片制造商需要20mJ /cm2的曝光能量。對(duì)于250瓦的光源,這個(gè)劑量將達(dá)到125 wph的吞吐量。

20mJ/cm2的光刻膠還沒(méi)有準(zhǔn)備好用于7nm工藝節(jié)點(diǎn)。5nm工藝節(jié)點(diǎn)更是懸而未決。因此,行業(yè)做出了一些妥協(xié)。芯片制造商使用曝光能量為30-40mJ/cm2的CAR型抗蝕劑。這些曝光能量提供了良好的分辨率,但速度較慢,并影響EUV的生產(chǎn)率。芯片制造商似乎在30-40mJ/cm2劑量的光刻膠中獲得了良好的產(chǎn)量。

根據(jù)ASML的統(tǒng)計(jì),使用30mJ/cm2的能量時(shí),250瓦光源的EUV掃描器的吞吐量約為104-105 wph,沒(méi)有掩膜版保護(hù)薄膜。這低于理想的125 wph目標(biāo)。

那么未來(lái)的解決方案是什么呢?英特爾前高級(jí)研究員Yan Borodovsky表示:“一種方法是將光源提高到500瓦或1000瓦。這樣就可以使用更高的能量并確保產(chǎn)量。但是500瓦(或以上)的光源仍在研發(fā)中?!?/p>

另一種方法是改善EUV光刻膠。Lam Research技術(shù)總監(jiān)Richard Wise表示:“現(xiàn)在,功率的縮減已經(jīng)接近預(yù)期,人們開(kāi)始投入更多材料。一旦你研究了缺陷或隨機(jī)缺陷的機(jī)制,就會(huì)發(fā)現(xiàn)它實(shí)際上是由光子散射噪聲和光刻膠污跡引起的。我可以展示一個(gè)圖案,但缺陷是災(zāi)難性的,無(wú)法忍受?!?/p>

在EUV中,有兩種主要的光刻膠類型——CAR化學(xué)放大型和金屬氧化物。Wise表示:“CAR有著悠久的歷史。這種機(jī)制很好理解。金屬氧化物是更新的。這兩個(gè)系統(tǒng)都在取得進(jìn)展。我認(rèn)為他們正在以同樣的速度取得進(jìn)展?!?/p>

CAR有幾種變體。一位候選是一個(gè)金屬敏化CAR。金屬具有較高的光吸收??的螤柎髮W(xué)材料工程教授Christopher Ober表示:“通過(guò)加入合適的金屬,你可以在CAR性能方面取得顯著的進(jìn)步?!?/p>

其次,JSR、TEL和其他公司正在開(kāi)發(fā)另一種稱為光敏CAR(PSCAR)的變體。為此,這種機(jī)制會(huì)釋放一種酸。然后,它會(huì)觸發(fā)光敏劑。Ober表示:“你可以進(jìn)行整片曝光,這會(huì)導(dǎo)致更高性能的成像。”

與此同時(shí),初創(chuàng)公司Irresistible Materials正在開(kāi)發(fā)一種多元觸發(fā)型化學(xué)放大光刻膠。除CAR之外,Inpria正在開(kāi)發(fā)基于納米氧化錫的金屬氧化物EUV光刻膠。Lam公司的Wise表示:“金屬氧化物的理論是合理的。你會(huì)捕獲更多的光子,并且有更高的散射噪聲密度?!?/p>

盡管如此,關(guān)于光刻膠還是有一些挑戰(zhàn)和折衷。在SPIE的一篇論文中,TEL和Imec比較了CAR和金屬氧化物抗蝕劑在低于36nm的間距下的低曝光劑量。在SPIE的一次演講中,TEL公司的工藝工程師Sophie Thibaut表示:“在這兩種情況下,你都會(huì)有光刻,線寬和粗糙度。CAR在中高頻區(qū)域具有更好的LER和LWR性能。 但含金屬的抗蝕劑對(duì)于低頻區(qū)域更好?!?/p>

關(guān)于EUV的性能分析和挑戰(zhàn)

圖5:CAR抗蝕劑vs金屬氧化物抗蝕劑 (來(lái)源:Imec)

十億個(gè)觸點(diǎn)

除了光刻膠,行業(yè)還面臨著另一個(gè)挑戰(zhàn)。如何確保一顆集成了10億個(gè)或更多通孔觸點(diǎn)的芯片獲得良好的產(chǎn)量?

計(jì)量學(xué)是第一步,這是測(cè)量芯片的科學(xué)。在晶圓廠中,芯片制造商最初使用CD-SEM。但CD-SEM僅限于每次測(cè)量10,000個(gè)特征圖形,這意味著它可能無(wú)法檢測(cè)到所有因隨機(jī)效應(yīng)引起的缺陷。

Applied Materials公司的計(jì)量和過(guò)程控制主管Ofer Adan表示:“在處理EUV隨機(jī)效應(yīng)時(shí),我們需要測(cè)量你所看到的許多屬性。你想看到阻斷層、分割層和正確的CD。你想看到它們被放置在正確的位置。你希望看到它們沒(méi)有觸及觸點(diǎn)和通孔。你還希望看到它們沒(méi)有間距變化。你有EUV隨機(jī)性。他們與其余的流程步驟互相影響。所以我們需要覆蓋EUV和非EUV之間的接口。這包括套刻精度以及EUV層和非EUV層之間的混合圖形匹配,所以這是一個(gè)巨大的挑戰(zhàn)?!?/p>

這里還有其他挑戰(zhàn)。GlobalFoundries的高級(jí)技術(shù)人員Benjamin Bunday表示:“如果你用光刻機(jī)曝光的產(chǎn)品平均視場(chǎng)尺寸除以我們期望在這些節(jié)點(diǎn)上的接觸孔的間距,那么你的每個(gè)全視場(chǎng)就可以處理大約1萬(wàn)億個(gè)特征圖形。我們需要以百萬(wàn)分之一級(jí)別的敏感度來(lái)進(jìn)行抽樣。我們?nèi)绾卧诖蠛@頁(yè)频侥歉??因此,從某種意義上講,我們正在開(kāi)始關(guān)注一個(gè)理論,我們可能想要衡量10億個(gè)特征圖形,以便對(duì)這些圖形有很好的抽樣和確定性?,F(xiàn)在,當(dāng)然,我相信我們會(huì)找到一種方法來(lái)減少一些條件,削減幾個(gè)數(shù)量級(jí)。我們必須要做到切實(shí)可行。但這就是數(shù)字所說(shuō)明的問(wèn)題?!?/p>

為了幫助解決這一問(wèn)題,Applied Materials和ASML正在為其電子束檢測(cè)工具增加計(jì)量功能。他們將把CD-SEM和套刻精度測(cè)量能力混合在一起。

實(shí)際上,一個(gè)工具可以在短時(shí)間內(nèi)拍攝大視野。那么,根據(jù)設(shè)備制造商的說(shuō)法,你可以使用成像技術(shù)來(lái)啟用CD-SEM,在幾小時(shí)內(nèi)提供數(shù)百萬(wàn)次測(cè)量。ASML公司的Lercel表示:“只有測(cè)量結(jié)果告訴你哪里出了問(wèn)題,你才能開(kāi)始解決這些問(wèn)題。如果你可以測(cè)量每一個(gè)通孔觸點(diǎn),你就會(huì)得到這些數(shù)據(jù),來(lái)發(fā)現(xiàn)高斯分布的拖尾部分?!?/p>

CD-SEM和相關(guān)工具可能會(huì)遇到信號(hào)與噪聲問(wèn)題,從而導(dǎo)致所謂CD偏差的問(wèn)題。為了解決這個(gè)問(wèn)題,F(xiàn)ractilia有一個(gè)能夠測(cè)量LER和通孔失效的軟件工具。該工具可以把CD-SEM的誤差和光刻特征圖形區(qū)分開(kāi)。

對(duì)于EUV計(jì)量,光學(xué)CD(OCD)是另一種可能性。 然后,芯片制造商還必須使用晶圓檢測(cè)工具(如明視野)來(lái)定位缺陷。KLA-Tencor公司全球客戶參與高級(jí)總監(jiān)Neeraj Khanna表示:“對(duì)于EUV來(lái)說(shuō),缺陷更加隨機(jī),這是一個(gè)巨大的挑戰(zhàn)。”

一旦芯片制造商深入了解了計(jì)量/檢驗(yàn)數(shù)據(jù),他們就可以調(diào)整晶圓廠工具上的可調(diào)工藝參數(shù)來(lái)處理EUV隨機(jī)性。然而,這并不那么簡(jiǎn)單。Khanna表示:“所有這一切都需要更多的過(guò)程控制,其中很多都要回到基本的產(chǎn)量控制上。如果你只在一個(gè)過(guò)程中控制它,比如光刻,那將是非常困難的。今天,我們有光刻、蝕刻、CMP和一個(gè)非常閉環(huán)的反饋通道。”

與任何工藝一樣,芯片制造商必須使工具在晶圓廠中協(xié)同工作。但由于EUV隨機(jī)效應(yīng),芯片制造商可能會(huì)遇到新的復(fù)雜數(shù)據(jù)的爆炸式增長(zhǎng)。ASML有一個(gè)解決方案。ASML的Lercel表示:“這是我們添加的整體光刻技術(shù)的關(guān)鍵部分。這可以確保我們擁有計(jì)量方法,確保我們與蝕刻公司有良好的合作關(guān)系,并確保我們是否能夠獲得足夠的計(jì)量數(shù)據(jù)來(lái)執(zhí)行正確的工藝閉環(huán)控制。這就是我們認(rèn)為需要共同努力才能取得成功的原因。如果你可以一起優(yōu)化所有這些部件,你就能最終達(dá)到你所需要的邊緣放置誤差容限EPE。”

晶圓廠有很多不同的工具,但可能還不夠。因此,芯片制造商要求他們的計(jì)量和其他工具供應(yīng)商一起工作,并幫助整理數(shù)據(jù)。據(jù)一家芯片制造商稱:“目前還不清楚將如何工作,因?yàn)闆](méi)有開(kāi)放的框架可以做到這一點(diǎn)?!?/p>

不過(guò),行業(yè)必須合作。否則,隨著更多的隨機(jī)效應(yīng)需要處理,EUV的引入可能會(huì)變得更困難。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4742

    瀏覽量

    127272
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9499

    瀏覽量

    136927
  • EUV
    EUV
    +關(guān)注

    關(guān)注

    8

    文章

    600

    瀏覽量

    85846
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    日本大學(xué)研發(fā)出新極紫外(EUV)光刻技術(shù)

    近日,日本沖繩科學(xué)技術(shù)大學(xué)院大學(xué)(OIST)發(fā)布了一項(xiàng)重大研究報(bào)告,宣布該校成功研發(fā)出一種突破性的極紫外(EUV)光刻技術(shù)。這一創(chuàng)新技術(shù)超越了當(dāng)前半導(dǎo)體制造業(yè)的標(biāo)準(zhǔn)界限,其設(shè)計(jì)的光刻設(shè)備能夠采用更小巧的EUV光源,并且功耗僅為傳統(tǒng)EUV
    的頭像 發(fā)表于 08-03 12:45 ?623次閱讀

    高端性能封裝技術(shù)的某些特點(diǎn)與挑戰(zhàn)

    隨著科技的不斷進(jìn)步,高端性能封裝技術(shù)在電子行業(yè)中扮演著越來(lái)越重要的角色。這種封裝技術(shù)不僅提高了電子產(chǎn)品的性能,還使得設(shè)備更加小型化、高效化。然而,高端性能封裝技術(shù)也面臨著一系列的挑戰(zhàn)。
    的頭像 發(fā)表于 04-20 10:13 ?460次閱讀
    高端<b class='flag-5'>性能</b>封裝技術(shù)的某些特點(diǎn)與<b class='flag-5'>挑戰(zhàn)</b>

    線路板阻焊掉油:一場(chǎng)對(duì)性能與壽命的挑戰(zhàn)

    線路板阻焊掉油:一場(chǎng)對(duì)性能與壽命的挑戰(zhàn)
    的頭像 發(fā)表于 03-14 15:23 ?594次閱讀

    SAGE算法的性能分析

    電子發(fā)燒友網(wǎng)站提供《SAGE算法的性能分析.pdf》資料免費(fèi)下載
    發(fā)表于 02-28 10:38 ?0次下載

    如何獲得高純度的EUV光源?EUVL光源濾波系統(tǒng)的主流技術(shù)方案分析

    目前,商用EUV光刻機(jī)采用激光等離子體型-極紫外(LPP-EUV)光源系統(tǒng),主要由驅(qū)動(dòng)激光器、液滴錫靶、收集鏡組成。
    的頭像 發(fā)表于 02-21 10:18 ?812次閱讀
    如何獲得高純度的<b class='flag-5'>EUV</b>光源?EUVL光源濾波系統(tǒng)的主流技術(shù)方案<b class='flag-5'>分析</b>

    ASML為什么能在EUV領(lǐng)域獲勝?

    在討論ASML以及為何復(fù)制其技術(shù)如此具有挑戰(zhàn)性時(shí),分析通常集中在EUV機(jī)器的極端復(fù)雜性上,這歸因于競(jìng)爭(zhēng)對(duì)手復(fù)制它的難度。
    發(fā)表于 01-17 10:46 ?263次閱讀
    ASML為什么能在<b class='flag-5'>EUV</b>領(lǐng)域獲勝?

    高數(shù)值孔徑 EUV技術(shù)路線圖

    高數(shù)值孔徑EUV 今年的大部分討論都集中在EUV的下一步發(fā)展以及高數(shù)值孔徑EUV的時(shí)間表和技術(shù)要求上。ASML戰(zhàn)略營(yíng)銷高級(jí)總監(jiān)Michael Lercel表示,其目標(biāo)是提高EUV的能源
    發(fā)表于 11-23 16:10 ?581次閱讀
    高數(shù)值孔徑 <b class='flag-5'>EUV</b>技術(shù)路線圖

    GPRS的性能分析及優(yōu)化

    電子發(fā)燒友網(wǎng)站提供《GPRS的性能分析及優(yōu)化.pdf》資料免費(fèi)下載
    發(fā)表于 11-17 16:31 ?0次下載
    GPRS的<b class='flag-5'>性能</b><b class='flag-5'>分析</b>及優(yōu)化

    什么是EUV光刻?EUV與DUV光刻的區(qū)別

    EUV 光是指用于微芯片光刻的極紫外光,涉及在微芯片晶圓上涂上感光材料并小心地將其曝光。這會(huì)將圖案打印到晶圓上,用于微芯片設(shè)計(jì)過(guò)程中的后續(xù)步驟。
    發(fā)表于 10-30 12:22 ?2173次閱讀

    關(guān)于相位鎖定環(huán)(PLL)頻率合成器的設(shè)計(jì)和分析

    本篇文章是關(guān)于相位鎖定環(huán)(PLL)頻率合成器的設(shè)計(jì)和分析,重點(diǎn)討論了相位噪聲和頻率噪聲的測(cè)量、建模和仿真方法。文章以設(shè)計(jì)一個(gè)假想的PLL頻率合成器為例,詳細(xì)介紹了設(shè)計(jì)過(guò)程和步驟。從規(guī)格選擇、電路配置
    的頭像 發(fā)表于 10-26 15:30 ?1272次閱讀
    <b class='flag-5'>關(guān)于</b>相位鎖定環(huán)(PLL)頻率合成器的設(shè)計(jì)和<b class='flag-5'>分析</b>

    基于MIMO雷達(dá)檢測(cè)性能分析

    電子發(fā)燒友網(wǎng)站提供《基于MIMO雷達(dá)檢測(cè)性能分析.pdf》資料免費(fèi)下載
    發(fā)表于 10-25 09:41 ?0次下載
    基于MIMO雷達(dá)檢測(cè)<b class='flag-5'>性能</b><b class='flag-5'>分析</b>

    能耗成了EUV***的最大掣肘

    電子發(fā)燒友網(wǎng)報(bào)道(文/周凱揚(yáng))隨著雙碳目標(biāo)的提出,越來(lái)越多的行業(yè)應(yīng)用開(kāi)始注意到能耗問(wèn)題,尤其是在半導(dǎo)體制造設(shè)備上。就拿我們常常提及的EUV光刻機(jī)來(lái)說(shuō),就是一個(gè)不折不扣的耗電大戶,結(jié)合光刻半導(dǎo)體
    的頭像 發(fā)表于 10-25 01:14 ?1320次閱讀

    高數(shù)值孔徑EUV的可能拼接解決方案

    采用曲線掩模的另一個(gè)挑戰(zhàn)是需要將兩個(gè)掩模縫合在一起以在晶圓上形成完整的圖像。對(duì)于高數(shù)值孔徑 EUV,半場(chǎng)掩模的拼接誤差是一個(gè)主要問(wèn)題。
    的頭像 發(fā)表于 10-23 12:21 ?568次閱讀
    高數(shù)值孔徑<b class='flag-5'>EUV</b>的可能拼接解決方案

    日本的EUV***引進(jìn)之路

    電子發(fā)燒友網(wǎng)報(bào)道(文/周凱揚(yáng))隨著歐美韓等國(guó)家均已引進(jìn)EUV光刻機(jī),為其晶圓代工廠提供最先進(jìn)的工藝支持,日本雖然半導(dǎo)體制造水平這些年來(lái)提升不大,卻也計(jì)劃引進(jìn)EUV光刻機(jī)來(lái)打破這一困局,重回行業(yè)一流
    的頭像 發(fā)表于 10-10 01:13 ?1689次閱讀

    LED電路的組成及性能分析

    電子發(fā)燒友網(wǎng)站提供《LED電路的組成及性能分析.pdf》資料免費(fèi)下載
    發(fā)表于 10-09 16:35 ?0次下載
    LED電路的組成及<b class='flag-5'>性能</b><b class='flag-5'>分析</b>