0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Quartus Prime設(shè)計(jì)出高效利用硅性能的新一代可編程器件

PCB線路板打樣 ? 來源:LONG ? 2019-08-09 11:04 ? 次閱讀

Altera的新Quartus Prime設(shè)計(jì)軟件擴(kuò)展了設(shè)計(jì)性能和生產(chǎn)力方面的領(lǐng)先地位

加利福尼亞州圣何塞。, 11月。 2015年2月//PRNewswire/- 為新一代可編程邏輯器件設(shè)計(jì)生產(chǎn)力的新紀(jì)元,Altera公司(納斯達(dá)克股票代碼:ALTR)今天發(fā)布了Quartus ? Prime設(shè)計(jì)軟件。 Altera的新軟件環(huán)境建立在公司經(jīng)過驗(yàn)證的用戶友好型Quartus II軟件之上,并采用了以生產(chǎn)力為中心的新型Spectra-Q?引擎。新的Quartus Prime設(shè)計(jì)軟件經(jīng)過優(yōu)化,可通過減少設(shè)計(jì)迭代,提供業(yè)界最快的編譯時(shí)間和加速芯片性能來增強(qiáng)FPGA和SoC FPGA設(shè)計(jì)流程。

“我們的軟件工具在整個(gè)行業(yè)中以提供最高水平的性能和生產(chǎn)力而聞名,”Altera軟件和IP營銷高級主管 Alex Grbic 說。 “Quartus Prime設(shè)計(jì)軟件通過數(shù)十年的軟件創(chuàng)新擴(kuò)展了Altera的領(lǐng)導(dǎo)地位,為我們的客戶提供了可編程邏輯器件的新級別性能和生產(chǎn)力?!?/p>

Quartus Prime設(shè)計(jì)軟件用戶將體驗(yàn)同樣的輕松使用前端用戶界面作為以前的軟件版本;而在后端添加Spectra-Q引擎可以通過一組更快,更可擴(kuò)展的算法實(shí)現(xiàn)前所未有的編譯時(shí)間改進(jìn)和更高的設(shè)計(jì)性能。該引擎還具有分層數(shù)據(jù)庫,可保留IP塊的布局和布線,以確保穩(wěn)定的設(shè)計(jì),同時(shí)消除不必要的時(shí)序收斂工作并縮短編譯時(shí)間。

與早期訪問客戶合作,Quartus Prime設(shè)計(jì)軟件在多個(gè)Arria?10設(shè)計(jì)中展示了顯著更高的設(shè)計(jì)性能和設(shè)計(jì)人員生產(chǎn)力。隨著Quartus Prime設(shè)計(jì)軟件版本15.1的發(fā)布,針對Arria 10設(shè)計(jì)的客戶將體驗(yàn)到:

與之前的軟件版本相比,新的Hybrid具有全速度等級優(yōu)勢Placer和全局路由器算法。

使用新的BluePrint平臺設(shè)計(jì)器,IO設(shè)計(jì)速度提高了10倍。

使用軟件的新Rapid Recompile功能,編譯時(shí)間縮短了4倍。

擴(kuò)展了硬件描述語言支持,包括SystemVerilog-2005和VHDL-2008。

Quartus Prime設(shè)計(jì)軟件許可模型

Quartus Prime設(shè)計(jì)軟件根據(jù)客戶的設(shè)計(jì)要求提供三個(gè)版本。 Quartus Prime Pro Edition提供最新的性能和生產(chǎn)力工具,支持Altera最新的高性能FPGA和SoC FPGA。 Quartus Prime標(biāo)準(zhǔn)版支持Altera新產(chǎn)品類別的器件,Quartus Prime Lite版支持Altera的大批量器件系列。 Pro和Standard版本需要年度軟件許可證,而Lite版本可以免費(fèi)下載,無需許可證文件。

訪問Altera廣泛的IP生態(tài)系統(tǒng)
Quartus Prime設(shè)計(jì)軟件為用戶提供了對Altera廣泛的知識產(chǎn)權(quán)(IP)內(nèi)核集合的訪問。最新軟件版本中的增加和增強(qiáng)功能包括四個(gè)新的前向糾錯(cuò)(FEC)DSP內(nèi)核,一個(gè)針對低延遲10G以太網(wǎng)MAC和1G/2.5G/10G多速率以太網(wǎng)PHY的新2.5G動(dòng)態(tài)速率變化選項(xiàng),以及外部存儲(chǔ)器接口IP的改進(jìn)的可用性功能。還包括新的動(dòng)態(tài)生成和可配置的硬件設(shè)計(jì)示例,簡化了硬件上的IP評估,以進(jìn)一步提高設(shè)計(jì)人員的工作效率。有關(guān)Altera IP解決方案的更多信息,請參見“IP中的新功能”網(wǎng)頁。

可用性和定價(jià)
Quartus Prime設(shè)計(jì)軟件現(xiàn)已可供下載.Quartus Prime Pro和Standard版本附帶ModelSim?-Altera入門版軟件和IP Base Suite的完整許可證。對于節(jié)點(diǎn)鎖定的PC許可證,年度軟件許可證 $ 2,995 ,可在Altera的eStore 購買。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 可編程器件
    +關(guān)注

    關(guān)注

    2

    文章

    59

    瀏覽量

    20918
  • PCB打樣
    +關(guān)注

    關(guān)注

    17

    文章

    2968

    瀏覽量

    21629
  • 華強(qiáng)PCB
    +關(guān)注

    關(guān)注

    8

    文章

    1831

    瀏覽量

    27701
  • 華強(qiáng)pcb線路板打樣

    關(guān)注

    5

    文章

    14629

    瀏覽量

    42947
收藏 人收藏

    評論

    相關(guān)推薦

    利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA圖像控制器的設(shè)計(jì)方案

    利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場中有許多實(shí)際應(yīng)用。以硬件描述語言VHDL對可編程器件進(jìn)行功能模塊設(shè)計(jì)、仿真綜合,可實(shí)現(xiàn)VGA顯示控制器顯示各種圖形、圖像、文字,并實(shí)現(xiàn)了動(dòng)畫效果。
    發(fā)表于 08-30 12:03 ?1084次閱讀
    <b class='flag-5'>利用</b><b class='flag-5'>可編程器件</b>CPLD/FPGA實(shí)現(xiàn)VGA圖像控制器的設(shè)計(jì)方案

    請教器件可編程的基本概念

    RAM就可以改變可編程器件的邏輯。可編程器件的LE中,其輸入陣列中是不是列和行都是實(shí)際導(dǎo)線,根據(jù)具體的需要把需要的節(jié)點(diǎn)‘焊接'在起。忘指點(diǎn)。謝謝。
    發(fā)表于 07-13 17:06

    如何通過ARM對可編程器件進(jìn)行配置?

    通過ARM對可編程器件進(jìn)行配置的的設(shè)計(jì)和實(shí)現(xiàn)
    發(fā)表于 04-13 06:20

    請問如何去設(shè)計(jì)可編程器件輔助軟件?

    HAD輔助設(shè)計(jì)軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫程序的設(shè)計(jì)思路是怎樣的?請問如何去設(shè)計(jì)可編程器件輔助軟件?
    發(fā)表于 04-14 06:21

    如何利用Lattice公司的可編程器件設(shè)計(jì)車用顯示系統(tǒng)?

    本文將主要介紹如何利用Lattice公司的可編程器件設(shè)計(jì)車用顯示系統(tǒng)。
    發(fā)表于 05-17 06:09

    PLD可編程邏輯器件

    ,足以滿足設(shè)計(jì)般的數(shù)字系統(tǒng)的需要。目前常用EEPROM,CPLD,F(xiàn)PGA。 PLA,PAL,GAL是早期的可編程器件,已經(jīng)淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
    發(fā)表于 07-22 09:05

    FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)

    CPLD是什么?FPGA包含哪幾類可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)?
    發(fā)表于 11-10 07:42

    可編程器件編程原理是什么?

    可編程器件編程原理是什么?指令集對CPU的意義是什么?
    發(fā)表于 11-30 07:39

    基于可編程器件的任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)

    采用可編程器件設(shè)計(jì)電路,利用MAX+plus II設(shè)計(jì)軟件中LPM元件庫所提供的lpm_counter元件,實(shí)現(xiàn)任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)。該計(jì)數(shù)器電路與結(jié)構(gòu)無關(guān),可編程器件的芯片利用率及效
    發(fā)表于 12-29 17:47 ?55次下載

    Altera發(fā)布光纖互連可編程器件

    Altera公司日前發(fā)布其光纖互連可編程器件規(guī)劃。收發(fā)器是業(yè)界發(fā)展的關(guān)鍵,因此,Altera發(fā)揮在這領(lǐng)域的技術(shù)領(lǐng)先優(yōu)勢,將這遠(yuǎn)景展望變?yōu)楝F(xiàn)實(shí)
    發(fā)表于 03-31 09:28 ?812次閱讀

    可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制

    可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制
    發(fā)表于 01-18 20:40 ?21次下載

    可編程器件緒論

    可編程器件緒論
    發(fā)表于 09-19 15:40 ?7次下載
    <b class='flag-5'>可編程器件</b>緒論

    Quartus Prime設(shè)計(jì)軟件發(fā)布,標(biāo)志新一代可編程邏輯器件設(shè)計(jì)效能時(shí)代來臨

    Altera公司發(fā)布Quartus Prime設(shè)計(jì)軟件,標(biāo)志著新一代可編程邏輯器件設(shè)計(jì)效能新時(shí)代的來臨。Altera新的軟件環(huán)境構(gòu)建在公司成熟可靠而且用戶友好的
    發(fā)表于 08-31 16:57 ?1928次閱讀

    電子技術(shù)基礎(chǔ)知識存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列的介紹

    本文檔的詳細(xì)介紹的是電子技術(shù)基礎(chǔ)知識存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場可編程門陣列的介紹主要內(nèi)容包括了: 1 只讀存儲(chǔ)器,2 隨機(jī)存取存儲(chǔ)器,3 復(fù)雜可編程邏輯器件,4 現(xiàn)場可編程門陣列,5
    發(fā)表于 02-22 08:00 ?28次下載
    電子技術(shù)基礎(chǔ)知識存儲(chǔ)器、復(fù)雜<b class='flag-5'>可編程器件</b>和現(xiàn)場<b class='flag-5'>可編程</b>門陣列的介紹

    可編程器件的特點(diǎn)和發(fā)展歷程

    可編程器件(Programmable devices)是種集成電路,可以在生產(chǎn)過程中通過編程改變其功能和性能。它們具有的特點(diǎn)和發(fā)展歷程可以追溯到20世紀(jì)60年
    的頭像 發(fā)表于 12-21 17:19 ?800次閱讀