0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

dfrobotADkey 按鍵模塊介紹

DFRobot ? 來(lái)源:dfrobot ? 作者:dfrobot ? 2019-11-29 16:40 ? 次閱讀



簡(jiǎn)介

ADKey 鍵盤模塊讓你使用1路模擬口即可讀取5個(gè)按鍵的狀態(tài),為Arduino節(jié)約IO口。

配合Arduino傳感器擴(kuò)展板可以完成使用多個(gè)按鈕進(jìn)行互動(dòng)的作品。

應(yīng)用領(lǐng)域
  • 互動(dòng)媒體設(shè)計(jì)
  • 改裝玩具
  • 教育行業(yè)方案快速成型
  • DIY電子
技術(shù)規(guī)格
  • 工作電壓:控制器工作電壓
  • 數(shù)據(jù)類型:模擬信號(hào)
  • 尺寸:57x37mm
  • 接口類型:IDC10 Gadgeteer Type A
  • 重量:20克

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 嵌入式主板
    +關(guān)注

    關(guān)注

    7

    文章

    6081

    瀏覽量

    34942
  • DFRobot
    +關(guān)注

    關(guān)注

    4

    文章

    1155

    瀏覽量

    9313
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    嵌入式擴(kuò)展板模塊按鍵硬件介紹

    藍(lán)橋杯嵌入式擴(kuò)展板模塊按鍵硬件介紹Button.cButton.c函數(shù)實(shí)現(xiàn)的講解Button.h總結(jié)硬件介紹U1S1這是我見(jiàn)到過(guò)最NB的硬件電路,我當(dāng)時(shí)還以為是矩陣鍵盤,沒(méi)想到它采用
    發(fā)表于 12-20 06:06

    介紹獨(dú)立按鍵基本的使用方法

    首先呢,大家都知道:歷年的藍(lán)橋杯單片機(jī)組都會(huì)考到按鍵模塊;對(duì)于省賽來(lái)說(shuō),考獨(dú)立按鍵的概率遠(yuǎn)遠(yuǎn)高于矩陣鍵盤,所以必須將獨(dú)立按鍵的用法吃透來(lái)(當(dāng)然,同時(shí)準(zhǔn)備矩陣鍵盤也是比較保險(xiǎn)的做法)現(xiàn)在
    發(fā)表于 01-06 06:30

    線性CCD模塊(可按鍵調(diào)試)

    飛思卡爾單片機(jī) 線性CCD模塊(可按鍵調(diào)試)
    發(fā)表于 01-13 15:01 ?12次下載

    調(diào)試器模塊(可按鍵調(diào)試)

    飛思卡爾單片機(jī) 調(diào)試器模塊(可按鍵調(diào)試)源程序
    發(fā)表于 01-13 15:18 ?11次下載

    攝像頭模塊(可按鍵調(diào)試)

    飛思卡爾單片機(jī) 調(diào)試器模塊(可按鍵調(diào)試)程序
    發(fā)表于 01-13 15:01 ?18次下載

    微雪電子樹(shù)莓派電容觸摸 按鍵模塊介紹

     樹(shù)莓派電容觸摸模塊 16個(gè)觸摸按鍵 TTP229-LSF
    的頭像 發(fā)表于 11-12 16:20 ?2794次閱讀
    微雪電子樹(shù)莓派電容觸摸 <b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b><b class='flag-5'>介紹</b>

    微雪電子5 IO 按鍵模塊 小鍵盤介紹

    5 IO 按鍵模塊 - 10個(gè)按鍵 1個(gè)搖桿 10個(gè)按鍵 1個(gè)搖桿 提供測(cè)試程序 原理圖 型號(hào) 5 IO Keypad
    的頭像 發(fā)表于 12-30 09:12 ?1205次閱讀
    微雪電子5 IO <b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b> 小鍵盤<b class='flag-5'>介紹</b>

    微雪電子AD按鍵模塊簡(jiǎn)介

    AD按鍵模塊 16個(gè)按鍵 僅占用1個(gè)AD口 檢測(cè)接口(被接入方需具備AD檢測(cè)功能)提供測(cè)試程序(STM32) 型號(hào) AD Keypad
    的頭像 發(fā)表于 12-30 09:28 ?1738次閱讀
    微雪電子AD<b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b>簡(jiǎn)介

    微雪電子電容觸摸 按鍵模塊簡(jiǎn)介

    電容按鍵模塊 I2C 或 I/O接口 8個(gè)按鍵 1個(gè)滑條 取代傳統(tǒng)按鈕 電容式觸摸按鍵 可自動(dòng)校準(zhǔn) 型號(hào) Capacitive Touch Keypad (B)
    的頭像 發(fā)表于 12-30 09:36 ?2105次閱讀
    微雪電子電容觸摸 <b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b>簡(jiǎn)介

    基于AT32(STM32)單片機(jī)的模塊化代碼之——按鍵代碼模塊

    基于AT32(STM32)單片機(jī)的模塊化代碼之按鍵模塊化1.環(huán)境介紹平臺(tái):AT32F415單片機(jī),雅特力公司的AT32系列單片機(jī)其實(shí)跟STM32系列單片機(jī)大同小異,包括庫(kù)函數(shù)等基本都是
    發(fā)表于 11-19 10:06 ?37次下載
    基于AT32(STM32)單片機(jī)的<b class='flag-5'>模塊</b>化代碼之——<b class='flag-5'>按鍵</b>代碼<b class='flag-5'>模塊</b>化

    STM32G4系列MCU學(xué)習(xí)筆記:按鍵模塊

    、按鍵模塊的驅(qū)動(dòng)層實(shí)現(xiàn)1. 硬件框圖2. 按鍵驅(qū)動(dòng)層代碼實(shí)現(xiàn)3. 計(jì)時(shí)操作三、應(yīng)用層簡(jiǎn)單邏輯實(shí)現(xiàn)總結(jié)前言我所學(xué)過(guò)的按鍵模塊有獨(dú)立
    發(fā)表于 12-05 20:51 ?10次下載
    STM32G4系列MCU學(xué)習(xí)筆記:<b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b>

    單片機(jī)獨(dú)立按鍵模塊(含短按,長(zhǎng)按,連發(fā)功能)

    最近看了很多按鍵掃描的文章,發(fā)現(xiàn)各有長(zhǎng)處,后來(lái)自己花了一點(diǎn)時(shí)間做了一個(gè)單片機(jī)獨(dú)立按鍵掃描的模塊,此模塊優(yōu)點(diǎn)頗多,支持短按,長(zhǎng)按,連發(fā)功能,只要配置相關(guān)結(jié)構(gòu)體就可以實(shí)現(xiàn)這些功能,唯一的缺
    發(fā)表于 12-22 19:46 ?23次下載
    單片機(jī)獨(dú)立<b class='flag-5'>按鍵</b><b class='flag-5'>模塊</b>(含短按,長(zhǎng)按,連發(fā)功能)

    如何設(shè)計(jì)一個(gè)實(shí)用的按鍵模塊

    這是FPGA之旅的第二個(gè)設(shè)計(jì)實(shí)例了,按鍵在項(xiàng)目中的作用是非常大的,使用的很頻繁,本例將帶大家設(shè)計(jì)一個(gè)實(shí)用的按鍵模塊。
    的頭像 發(fā)表于 09-05 09:19 ?2771次閱讀

    機(jī)械彈性按鍵的原理和編程方法介紹

    按鍵是數(shù)字系統(tǒng)最基本的輸入接口設(shè)備,本文主要介紹機(jī)械彈性按鍵的原理和編程方法。
    的頭像 發(fā)表于 09-17 16:35 ?1583次閱讀
    機(jī)械彈性<b class='flag-5'>按鍵</b>的原理和編程方法<b class='flag-5'>介紹</b>

    一個(gè)應(yīng)用于單片機(jī)的按鍵處理模塊!

    一個(gè)應(yīng)用于單片機(jī)的按鍵處理模塊!
    的頭像 發(fā)表于 10-24 16:28 ?586次閱讀
    一個(gè)應(yīng)用于單片機(jī)的<b class='flag-5'>按鍵</b>處理<b class='flag-5'>模塊</b>!