0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

汽車玩家 ? 來(lái)源:科學(xué)計(jì)算technomania ? 作者:貓叔 ? 2020-01-27 10:37 ? 次閱讀

時(shí)序路徑

典型的時(shí)序路徑有4類,如下圖所示,這4類路徑可分為片間路徑(標(biāo)記①和標(biāo)記③)和片內(nèi)路徑(標(biāo)記②和標(biāo)記④)。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

對(duì)于所有的時(shí)序路徑,我們都要明確其起點(diǎn)和終點(diǎn),這4類時(shí)序路徑的起點(diǎn)和終點(diǎn)分別如下表。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

這4類路徑中,我們最為關(guān)心是②的同步時(shí)序路徑,也就是FPGA內(nèi)部的時(shí)序邏輯。

時(shí)序模型

典型的時(shí)序模型如下圖所示,一個(gè)完整的時(shí)序路徑包括源時(shí)鐘路徑、數(shù)據(jù)路徑和目的時(shí)鐘路徑,也可以表示為觸發(fā)器+組合邏輯+觸發(fā)器的模型。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

該時(shí)序模型的要求為(公式1)

Tclk ≥ Tco + Tlogic + Trouting + Tsetup - Tskew

其中,Tco為發(fā)端寄存器時(shí)鐘到輸出時(shí)間;Tlogic為組合邏輯延遲;Trouting為兩級(jí)寄存器之間的布線延遲;Tsetup為收端寄存器建立時(shí)間;Tskew為兩級(jí)寄存器的時(shí)鐘歪斜,其值等于時(shí)鐘同邊沿到達(dá)兩個(gè)寄存器時(shí)鐘端口的時(shí)間差;Tclk為系統(tǒng)所能達(dá)到的最小時(shí)鐘周期。

這里我們多說(shuō)一下這個(gè)Tskew,skew分為兩種,positive skew和negative skew,其中positive skew見下圖,這相當(dāng)于增加了后一級(jí)寄存器的觸發(fā)時(shí)間。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

但對(duì)于negative skew,則相當(dāng)于減少了后一級(jí)寄存器的觸發(fā)時(shí)間,如下圖所示。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

當(dāng)系統(tǒng)穩(wěn)定后,都會(huì)是positive skew的狀態(tài),但即便是positive skew,綜合工具在計(jì)算時(shí)序時(shí),也不會(huì)把多出來(lái)的Tskew算進(jìn)去。

用下面這個(gè)圖來(lái)表示時(shí)序關(guān)系就更加容易理解了。為什么要減去Tskew,下面這個(gè)圖也更加直觀。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

發(fā)送端寄存器產(chǎn)生的數(shù)據(jù),數(shù)據(jù)經(jīng)過(guò)Tco、Tlogic、Trouting后到達(dá)接收端,同時(shí)還要給接收端留出Tsetup的時(shí)間。而時(shí)鐘延遲了Tskew的時(shí)間,因此有:(公式2)

Tdata\_path + Tsetup < = Tskew + Tclk

對(duì)于同步設(shè)計(jì)Tskew可忽略(認(rèn)為其值為0),因?yàn)镕PGA中的時(shí)鐘樹會(huì)盡量保證到每個(gè)寄存器的延遲相同。

公式中提到了建立時(shí)間,那保持時(shí)間在什么地方體現(xiàn)呢?

保持時(shí)間比較難理解,它的意思是reg1的輸出不能太快到達(dá)reg2,這是為了防止采到的新數(shù)據(jù)太快而沖掉了原來(lái)的數(shù)據(jù)。保持時(shí)間約束的是同一個(gè)時(shí)鐘邊沿,而不是對(duì)下一個(gè)時(shí)鐘邊沿的約束。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

reg2在邊沿2時(shí)刻剛剛捕獲reg1在邊沿1時(shí)刻發(fā)出的數(shù)據(jù),若reg1在邊沿2時(shí)刻發(fā)出的數(shù)據(jù)過(guò)快到達(dá)reg2,則會(huì)沖掉前面的數(shù)據(jù)。因此保持時(shí)間約束的是同一個(gè)邊沿。

FPGA時(shí)序約束基本理論之時(shí)序路徑和時(shí)序模型

在時(shí)鐘沿到達(dá)之后,數(shù)據(jù)要保持Thold的時(shí)間,因此,要滿足:(公式3)

Tdata\_path = Tco + Tlogic + Trouting ≥ Tskew + Thold

這兩個(gè)公式是FPGA的面試和筆試中經(jīng)常問(wèn)到的問(wèn)題,因?yàn)檫@種問(wèn)題能反映出應(yīng)聘者對(duì)時(shí)序的理解。

在公式1中,Tco跟Tsu一樣,也取決于芯片工藝,因此,一旦芯片型號(hào)選定就只能通過(guò)Tlogic和Trouting來(lái)改善Tclk。其中,Tlogic和代碼風(fēng)格有很大關(guān)系,Trouting和布局布線的策略有很大關(guān)系。

關(guān)于時(shí)序約束的基本理論就講這么多,下篇講具體的約束。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598898
  • 時(shí)序
    +關(guān)注

    關(guān)注

    5

    文章

    370

    瀏覽量

    37186
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA案例之時(shí)序路徑時(shí)序模型解析

    表。 這4類路徑中,我們最為關(guān)心是②的同步時(shí)序路徑,也就是FPGA內(nèi)部的時(shí)序邏輯。 時(shí)序
    的頭像 發(fā)表于 11-17 16:41 ?2982次閱讀
    <b class='flag-5'>FPGA</b>案例<b class='flag-5'>之時(shí)序</b><b class='flag-5'>路徑</b>與<b class='flag-5'>時(shí)序</b><b class='flag-5'>模型</b>解析

    FPGA的IO口時(shí)序約束分析

      在高速系統(tǒng)中FPGA時(shí)序約束不止包括內(nèi)部時(shí)鐘約束,還應(yīng)包括完整的IO時(shí)序約束
    發(fā)表于 09-27 09:56 ?1638次閱讀

    FPGA時(shí)序約束之偽路徑和多周期路徑

    前面幾篇FPGA時(shí)序約束進(jìn)階篇,介紹了常用主時(shí)鐘約束、衍生時(shí)鐘約束、時(shí)鐘分組約束的設(shè)置,接下來(lái)介
    發(fā)表于 06-12 17:33 ?1544次閱讀

    詳解時(shí)序路徑的相關(guān)概念

    reg2reg路徑約束的對(duì)象是源寄存器(時(shí)序路徑的起點(diǎn))和目的寄存器(時(shí)序路徑的終點(diǎn))都在
    的頭像 發(fā)表于 06-26 14:28 ?875次閱讀
    詳解<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑</b>的相關(guān)概念

    FPGA時(shí)序約束之時(shí)序路徑時(shí)序模型

    時(shí)序路徑作為時(shí)序約束時(shí)序分析的物理連接關(guān)系,可分為片間路徑和片內(nèi)
    發(fā)表于 08-14 17:50 ?693次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>約束</b><b class='flag-5'>之時(shí)序</b><b class='flag-5'>路徑</b>和<b class='flag-5'>時(shí)序</b><b class='flag-5'>模型</b>

    FPGA I/O口時(shí)序約束講解

    前面講解了時(shí)序約束理論知識(shí)FPGA時(shí)序約束理論篇,
    發(fā)表于 08-14 18:22 ?1368次閱讀
    <b class='flag-5'>FPGA</b> I/O口<b class='flag-5'>時(shí)序</b><b class='flag-5'>約束</b>講解

    FPGA時(shí)序約束--基礎(chǔ)理論

    和時(shí)鐘偏差組成的。 二、時(shí)序路徑 時(shí)序路徑是指從FPGA輸入到輸出的所有邏輯路徑組成的
    發(fā)表于 11-15 17:41

    時(shí)序約束時(shí)序分析 ppt教程

    時(shí)序約束時(shí)序分析 ppt教程 本章概要:時(shí)序約束時(shí)序分析基礎(chǔ)常用
    發(fā)表于 05-17 16:08 ?0次下載

    FPGA時(shí)序約束方法

    FPGA時(shí)序約束方法很好地資料,兩大主流的時(shí)序約束都講了!
    發(fā)表于 12-14 14:21 ?19次下載

    基于時(shí)序路徑FPGA時(shí)序分析技術(shù)研究

    基于時(shí)序路徑FPGA時(shí)序分析技術(shù)研究_周珊
    發(fā)表于 01-03 17:41 ?2次下載

    FPGA中的時(shí)序約束設(shè)計(jì)

    一個(gè)好的FPGA設(shè)計(jì)一定是包含兩個(gè)層面:良好的代碼風(fēng)格和合理的約束。時(shí)序約束作為FPGA設(shè)計(jì)中不可或缺的一部分,已發(fā)揮著越來(lái)越重要的作用。毋
    發(fā)表于 11-17 07:54 ?2478次閱讀
    <b class='flag-5'>FPGA</b>中的<b class='flag-5'>時(shí)序</b><b class='flag-5'>約束</b>設(shè)計(jì)

    正點(diǎn)原子FPGA靜態(tài)時(shí)序分析與時(shí)序約束教程

    時(shí)序分析結(jié)果,并根據(jù)設(shè)計(jì)者的修復(fù)使設(shè)計(jì)完全滿足時(shí)序約束的要求。本章包括以下幾個(gè)部分: 1.1 靜態(tài)時(shí)序分析簡(jiǎn)介 1.2 FPGA 設(shè)計(jì)流程
    發(fā)表于 11-11 08:00 ?60次下載
    正點(diǎn)原子<b class='flag-5'>FPGA</b>靜態(tài)<b class='flag-5'>時(shí)序</b>分析與<b class='flag-5'>時(shí)序</b><b class='flag-5'>約束</b>教程

    FPGA設(shè)計(jì)之時(shí)序約束四大步驟

    本文章探討一下FPGA時(shí)序約束步驟,本文章內(nèi)容,來(lái)源于配置的明德?lián)P時(shí)序約束專題課視頻。
    發(fā)表于 03-16 09:17 ?3491次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>之時(shí)序</b><b class='flag-5'>約束</b>四大步驟

    FPGA設(shè)計(jì)之時(shí)序約束

    上一篇《FPGA時(shí)序約束分享01_約束四大步驟》一文中,介紹了時(shí)序約束的四大步驟。
    發(fā)表于 03-18 10:29 ?1554次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計(jì)<b class='flag-5'>之時(shí)序</b><b class='flag-5'>約束</b>

    FPGA時(shí)序約束理論之時(shí)序路徑時(shí)序模型

    典型的時(shí)序路徑有4類,如下圖所示,這4類路徑可分為片間路徑(標(biāo)記①和標(biāo)記③)和片內(nèi)路徑(標(biāo)記②和標(biāo)記④)。
    發(fā)表于 06-26 10:30 ?481次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時(shí)序</b><b class='flag-5'>約束</b><b class='flag-5'>理論</b>篇<b class='flag-5'>之時(shí)序</b><b class='flag-5'>路徑</b>與<b class='flag-5'>時(shí)序</b><b class='flag-5'>模型</b>