0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

汽車玩家 ? 來(lái)源:今日頭條 ? 作者:碼農(nóng)阿勇 ? 2020-01-18 17:55 ? 次閱讀

隨機(jī)數(shù)在日常的應(yīng)用開發(fā)中,使用的比較多,比如抽獎(jiǎng)游戲,如果你不依靠隨機(jī)數(shù),就會(huì)變的由規(guī)律,容易被人發(fā)現(xiàn)規(guī)律。比如我們的斗地主游戲,它的發(fā)牌程序也會(huì)隨機(jī)給每個(gè)人發(fā)牌,還有一些加密使用的也很廣泛,下面給大家分享下python中的隨機(jī)數(shù)模塊中相關(guān)隨機(jī)函數(shù)的使用。

1、random模塊使用

1、導(dǎo)入模塊

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

2、randint函數(shù)使用

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

每次打印的結(jié)果都在1到10之間的隨機(jī)整數(shù),包括1和10在內(nèi)。

3、randrange函數(shù)

功能:randrange(a,b,c) 生成一個(gè)a到b,并以c為遞增的隨機(jī)數(shù)

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

4、choice函數(shù)

功能:從指定的序列中獲取一個(gè)隨機(jī)元素 choice(seq)

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

注意這里的序列可以是元祖,列表或字符串,但不能是字典,因?yàn)樽值涫菬o(wú)序的,這里的序列指的是有序的序列。

5、uniform函數(shù)

功能:uniform(a,b)用于生成一個(gè)指定范圍內(nèi)的隨機(jī)符點(diǎn)數(shù),兩個(gè)參數(shù)其中一個(gè)是上限,一個(gè)是下限。如果a > b,則生成的隨機(jī)數(shù)n: b <= n <= a。如果 a

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

6、random函數(shù)

功能:.random.random()用于生成一個(gè)0到1的隨機(jī)浮點(diǎn)數(shù):0<= n < 1.0

Python隨機(jī)數(shù)模塊的隨機(jī)函數(shù)使用

這里主要給大家分享random模塊中常用的5個(gè)隨機(jī)函數(shù),根據(jù)我們實(shí)際的應(yīng)用需求,大家合理去選擇使用。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 字符串
    +關(guān)注

    關(guān)注

    1

    文章

    566

    瀏覽量

    20384
  • python
    +關(guān)注

    關(guān)注

    53

    文章

    4753

    瀏覽量

    84078
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    雅特力AT32 MCU的隨機(jī)數(shù)生成

    概述產(chǎn)品和生態(tài)系統(tǒng)安全性的需求比以往任何時(shí)候都更加重要。真隨機(jī)數(shù)是所有安全系統(tǒng)的核心,其質(zhì)量會(huì)影響設(shè)計(jì)的安全性。因此在沒(méi)有內(nèi)置硬件TRNG的AT32的微控制器系列中,如何提高隨機(jī)數(shù)的有效,來(lái)符合
    的頭像 發(fā)表于 08-30 12:26 ?131次閱讀
    雅特力AT32 MCU的<b class='flag-5'>隨機(jī)數(shù)</b>生成

    如何在FPGA中實(shí)現(xiàn)隨機(jī)數(shù)發(fā)生器

    分享如何在Xilinx Breadboardable Spartan-7 FPGA, CMOD S7中實(shí)現(xiàn)4位偽隨機(jī)數(shù)發(fā)生器(PRNGs)。
    的頭像 發(fā)表于 08-06 11:20 ?383次閱讀
    如何在FPGA中實(shí)現(xiàn)<b class='flag-5'>隨機(jī)數(shù)</b>發(fā)生器

    如何使用Python生成四位隨機(jī)數(shù)

    為了實(shí)現(xiàn)這些目標(biāo),Python 為我們提供了random() 模塊。random() 是一個(gè)內(nèi)置的 Python 模塊,用于生成隨機(jī)數(shù)。
    的頭像 發(fā)表于 04-15 12:47 ?442次閱讀

    TC389芯片上HSM的TRNG真隨機(jī)數(shù)功能,如何判斷其隨機(jī)能力呢?

    想咨詢一下,TC389芯片上HSM的TRNG真隨機(jī)數(shù)功能,如何判斷其隨機(jī)能力呢?有什么資料或者測(cè)試內(nèi)容嗎?
    發(fā)表于 03-05 07:20

    不屬于python的內(nèi)置函數(shù)

    隨機(jī)數(shù)函數(shù),如random、randint、choice等。與math模塊一樣,這些函數(shù)也需要導(dǎo)入random模塊才能使用。
    的頭像 發(fā)表于 11-29 14:27 ?1148次閱讀

    全志R128應(yīng)用開發(fā)案例——獲取真隨機(jī)數(shù)

    獲取真隨機(jī)數(shù) 本文案例代碼 下載地址 獲取真隨機(jī)數(shù)案例代碼 https://www.aw-ol.com/downloads?cat=24 R128 內(nèi)置了TRNG,一個(gè)真隨機(jī)數(shù)發(fā)生器,隨機(jī)
    發(fā)表于 11-13 16:31

    隨機(jī)數(shù)生成器TRNG外設(shè)模塊應(yīng)用要點(diǎn)

    國(guó)產(chǎn)車規(guī)微控制器原廠云途半導(dǎo)體設(shè)計(jì)和發(fā)售的YTM32ME微控制器上集成的真隨機(jī)數(shù)生成器TRNG(True Random Number Generator)外設(shè)模塊
    的頭像 發(fā)表于 11-08 14:52 ?1563次閱讀
    真<b class='flag-5'>隨機(jī)數(shù)</b>生成器TRNG外設(shè)<b class='flag-5'>模塊</b>應(yīng)用要點(diǎn)

    用rand形成的不是真正的隨機(jī)數(shù),怎么才能達(dá)到真正的隨機(jī)?

    用rand形成的不是真正的隨機(jī)數(shù)啊,,怎么才能達(dá)到真正的隨機(jī)
    發(fā)表于 10-30 06:14

    單片機(jī)是如何產(chǎn)生隨機(jī)數(shù)的?

    單片機(jī)如何產(chǎn)生隨機(jī)數(shù)?
    發(fā)表于 10-27 06:44

    AT32的隨機(jī)數(shù)的產(chǎn)生

    AT32的隨機(jī)數(shù)的產(chǎn)生為設(shè)計(jì)者使用AT32芯片時(shí),產(chǎn)生符合應(yīng)用需求的隨機(jī)數(shù),提供設(shè)計(jì)建議。
    發(fā)表于 10-26 06:04

    全志R128應(yīng)用開發(fā)案例—獲取真隨機(jī)數(shù)

    R128 內(nèi)置了TRNG,一個(gè)真隨機(jī)數(shù)發(fā)生器,隨機(jī)源是 8 路獨(dú)立的環(huán)形振蕩器
    的頭像 發(fā)表于 10-24 17:49 ?820次閱讀
    全志R128應(yīng)用開發(fā)案例—獲取真<b class='flag-5'>隨機(jī)數(shù)</b>

    STM8有隨機(jī)數(shù)發(fā)生器嗎?

    怎么才能用STM8產(chǎn)生一個(gè)隨機(jī)數(shù)
    發(fā)表于 10-23 06:55

    PLC輸出0~100之間的隨機(jī)數(shù)編寫

    由于西門子PLC不提供隨機(jī)數(shù)相關(guān)函數(shù),在需要用到隨機(jī)數(shù)的情況下,只能自己手動(dòng)去寫,下面來(lái)教大家寫一個(gè)簡(jiǎn)單的0~100之間的隨機(jī)數(shù)。
    發(fā)表于 10-11 12:22 ?3223次閱讀
    PLC輸出0~100之間的<b class='flag-5'>隨機(jī)數(shù)</b>編寫

    如何使用雪花算法生成真正的隨機(jī)數(shù)

    以前用rand和srand生成過(guò)偽隨機(jī)數(shù),偽隨機(jī)數(shù)的序列是固定的,今天學(xué)習(xí)生成真正的隨機(jī)數(shù)的生成。 熵池 利用/dev/urandom可以生成隨機(jī)數(shù)的值,/dev/urandomLin
    的頭像 發(fā)表于 10-09 10:05 ?1166次閱讀

    求助,為何隨機(jī)數(shù)總是固定數(shù)?

    []={0xc00xf90xa40xb00x990x920x820xf80x800x90}; P0=a[rand()%10]; c=0; while (1) ; } 以上是源程序,P0連接共陽(yáng)數(shù)碼管,P2.0控制數(shù)碼管陽(yáng)極,隨機(jī)數(shù)函數(shù)產(chǎn)生一個(gè)
    發(fā)表于 09-28 07:38