0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片制造的核心設(shè)備:光刻機

獨愛72H ? 來源:樂晴智庫 ? 作者:樂晴智庫 ? 2020-03-19 13:55 ? 次閱讀

(文章來源:樂晴智庫)

光刻機是半導(dǎo)體制造設(shè)備中價格占比最大,也是最核心的設(shè)備,是附加價值極高的產(chǎn)品,被譽為是半導(dǎo)體產(chǎn)業(yè)皇冠上的明珠。芯片的加工過程對精度要求極高,光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經(jīng)物鏡補償各種光學誤差,將線路圖成比例縮小后映射到硅片上,然后使用化學方法顯影,得到刻在硅片上的電路圖。越復(fù)雜的芯片,線路圖的層數(shù)越多,就需要更精密的光刻機。

光刻機的制造和維護需要高度的光學和電子工業(yè)基礎(chǔ),能夠掌握這項技術(shù)的廠商寥寥無幾,目前比較知名的光刻機廠商有尼康、佳能、ABM、歐泰克、上海微電子裝備、SUSS等,但是在頂級光刻機領(lǐng)域,荷蘭的ASML公司幾乎壟斷了整個市場,占據(jù)超過70%的高端光刻機市場,且最新的產(chǎn)品EUV光刻機研發(fā)成本巨大,售價高達1億美元,但依舊供不應(yīng)求。

荷蘭ASML公司有一個模式,那就是只有投資它的公司才能夠優(yōu)先得到他們的頂級光刻機。例如蔡司就是投資了ASML,占據(jù)了它超過20%的股份。這些公司不單單投資它,也給它提供最新的技術(shù),這樣它就不是孤軍奮戰(zhàn)狀態(tài),做到了技術(shù)分工。英特爾、臺積電筆三星都主動出資入股ASML支持研發(fā),并有技術(shù)人員駐廠,格羅方德、聯(lián)電及中芯國際等的光刻機主要也是來自ASML,以此優(yōu)先獲得它的最先頂級的光刻機。

ASML公司在2019年年報中,披露了關(guān)于下一代EUV極紫光刻機的研發(fā)進程。預(yù)計2022年年初開始出貨,2024年實現(xiàn)大規(guī)模生產(chǎn)。根據(jù)ASML之前的報告,去年該公司出貨了26臺EUV光刻機,預(yù)計2020年交付35臺EUV光刻機,2021年則會達到45臺到50臺的交付量,是2019年的兩倍左右。

半導(dǎo)體設(shè)備分為晶圓加工設(shè)備、檢測設(shè)備、封裝設(shè)備和其他設(shè)備。晶圓加工設(shè)備中,光刻機、刻蝕機、薄膜沉積設(shè)備(PVD和CVD)技術(shù)難度最高,三者占比分別為30%、25%、25%。

半導(dǎo)體設(shè)備高門檻導(dǎo)致競爭格局高度集中。目前全球半導(dǎo)體設(shè)備市場主要被美國、日本、荷蘭企業(yè)所壟斷。半導(dǎo)體設(shè)備行業(yè)前10家公司2007年市占率合計66%,到2018年市占率合計達到81%,提升了15個百分點;前五家公司2007年市占率合計57%,到2018年市占率合計達到71%,提升了14個百分點。2018年全球半導(dǎo)體設(shè)備榜單前五名包括應(yīng)用材料、東京電子、拉姆研究、ASML和科磊半導(dǎo)體。除ASML外,各家公司產(chǎn)品線均比較豐富,且前三名企業(yè)營收均超過一百億美元。行業(yè)CR5占比75%,CR10占比91%。全球半導(dǎo)體設(shè)備競爭格局呈現(xiàn)高度集中狀態(tài)。

光刻機是生產(chǎn)線上最貴的機臺,千萬-億美元/臺。主要是貴在成像系統(tǒng)和定位系統(tǒng)。一般來說一條產(chǎn)線需要幾臺光刻機,其折舊速度非???,大約3~9萬人民幣/天,所以也稱之為印鈔機。光刻機工作原理:光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經(jīng)物鏡補償各種光學誤差,將線路圖成比例縮小后映射到硅片上,然后使用化學方法顯影,得到刻在硅片上的電路圖。

光源作為光刻機的核心構(gòu)成,很大程度上決定了光刻機的工藝水平。光源的變遷先后經(jīng)歷:(a)紫外光源(UV:UltravioletLight),波長最小縮小至365nm;(b)深紫外光源(DUV:DeepUltravioletLight),其中ArFImmersion實際等效波長為134nm;(c)極紫外光源(EUV:ExtremeUltravioletLight),目前大部分最高工藝制程半導(dǎo)體芯片均采用EUV光源。

集成電路制造工藝中,光刻是決定集成電路集成度的核心工序,在整個硅片加工成本中占到1/3。光刻的本質(zhì)是把掩膜版上臨時的電路結(jié)構(gòu)復(fù)制到以后要進行刻蝕和離子注入的硅片上。

從光刻機結(jié)構(gòu)來看,它由光源、光學鏡片和對準系統(tǒng)等部件組成,其工藝中十分關(guān)鍵的兩個元素是光刻膠和掩膜版。而光刻處理后的晶圓片再經(jīng)刻蝕和沉積等過程制成芯片成品,用于電腦、手機等各種設(shè)備之中。下游旺盛的終端市場需求決定了光刻設(shè)備必然也面臨巨大的需求。光刻設(shè)備廠商的下游客戶主要在于存儲和邏輯芯片制造商。

從全球角度來看,高精度IC芯片光刻機長期由ASML、尼康和佳能三家把持。ASML,尼康,佳能三家公司幾乎占據(jù)了99%的市場份額,其中ASML光刻機市場份額常年在70%以上,市場地位極其穩(wěn)固。光刻機研發(fā)的技術(shù)門檻和資金門檻非常高,也正是因此,能生產(chǎn)高端光刻機的廠商非常少,到最先進的14-7nm光刻機就只剩下ASML能生產(chǎn),日本佳能和尼康已經(jīng)基本放棄EUV光刻機的研發(fā)。

前四代光刻機使用都屬于深紫外光,ArF已經(jīng)最高可以實現(xiàn)22nm的芯片制程,但在摩爾定律的推動下,半導(dǎo)體產(chǎn)業(yè)對于芯片的需求已經(jīng)發(fā)展到14nm,甚至是7nm,浸入式光刻面臨更為嚴峻的鏡頭孔徑和材料挑戰(zhàn)。第五代EUV光刻機,采用極紫外光,可將最小工藝節(jié)點推進至7nm。5nm及以下工藝必須依靠EUV光刻機才能實現(xiàn)。隨著半導(dǎo)體制造工藝向7nm以下持續(xù)延伸,EUV光刻機的需求將進一步增加。

2018年我國半導(dǎo)體設(shè)備十強單位完成銷售收入94.97億元,同比增長24.6%。國內(nèi)光刻機廠商有上海微電子、中電科集團四十五研究所、合肥芯碩半導(dǎo)體等。上海微電子是國內(nèi)頂尖的光刻機制造商,根據(jù)電子工程世界資料,近年來公司通過積極研發(fā),已實現(xiàn)90nm節(jié)點光刻機的量產(chǎn),并有望延伸至65nm和45nm。由于制程上的差距非常大,國內(nèi)晶圓廠所需的高端光刻機只能完全依賴進口。

在《瓦森納協(xié)定》的封鎖下,高端光刻機在中國被禁售,即使中端光刻機也有保留條款—禁止給國內(nèi)自主CPU做代工,導(dǎo)致自主技術(shù)成長困難重重,光刻機國產(chǎn)化仍有很長的路要走。
(責任編輯:fqj)

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417151
  • 光刻機
    +關(guān)注

    關(guān)注

    31

    文章

    1136

    瀏覽量

    46895
收藏 人收藏

    評論

    相關(guān)推薦

    納米壓印光刻技術(shù)應(yīng)用在即,能否掀起芯片制造革命?

    電子發(fā)燒友網(wǎng)報道(文/李寧遠)提及芯片制造,首先想到的自然是光刻機光刻技術(shù)。而眾所周知,EUV光刻機產(chǎn)能有限而且成本高昂,業(yè)界一直都在探索
    的頭像 發(fā)表于 03-09 00:15 ?3769次閱讀
    納米壓印<b class='flag-5'>光刻</b>技術(shù)應(yīng)用在即,能否掀起<b class='flag-5'>芯片</b><b class='flag-5'>制造</b>革命?

    俄羅斯首臺光刻機問世

    的一部分,目前正在對其進行測試,該設(shè)備可確保生產(chǎn)350nm的芯片。什帕克還指出,到2026年將獲得130nm的國產(chǎn)光刻機,下一步將是開發(fā)90nm光刻機,并繼續(xù)向下邁進。 此前,俄羅斯曾
    的頭像 發(fā)表于 05-28 15:47 ?594次閱讀

    俄羅斯推出首臺光刻機:350nm

    來源:IT之家,謝謝 編輯:感知芯視界 Link 據(jù)外媒報道,俄羅斯首臺光刻機已經(jīng)制造完成并正在進行測試。俄羅斯聯(lián)邦工業(yè)和貿(mào)易部副部長Vasily Shpak表示,該設(shè)備可確保生產(chǎn)350納米工藝
    的頭像 發(fā)表于 05-28 09:13 ?537次閱讀

    后門!ASML可遠程鎖光刻機

    與ASML進行光刻機問題溝通時,負責人做出了保證,他們有能力遠程鎖控芯片制造設(shè)備。 這就意味著ASML傳承了歐美企業(yè)留有“后門”的習慣,而伴隨著這樣一則信息的揭露,或許很有可能會被美國
    的頭像 發(fā)表于 05-24 09:35 ?387次閱讀

    荷蘭阿斯麥稱可遠程癱瘓臺積電光刻機

    disable)臺積電相應(yīng)機器,而且還可以包括最先進的極紫外光刻機(EUV)。 這就意味著阿斯麥(ASML)留了后門,隨時有能力去遠程癱瘓制造芯片光刻機。 要知道我國大陸市場已經(jīng)連
    的頭像 發(fā)表于 05-22 11:29 ?5584次閱讀

    臺積電A16制程采用EUV光刻機,2026年下半年量產(chǎn)

    據(jù)臺灣業(yè)內(nèi)人士透露,臺積電并未為A16制程配備高數(shù)值孔徑(High-NA)EUV光刻機,而選擇利用現(xiàn)有的EUV光刻機進行生產(chǎn)。相較之下,英特爾和三星則計劃在此階段使用最新的High-NA EUV光刻機
    的頭像 發(fā)表于 05-17 17:21 ?704次閱讀

    臺積電未確定是否采購阿斯麥高數(shù)值孔徑極紫外光刻機

    盡管High NA EUV光刻機有望使芯片設(shè)計尺寸縮減達三分之二,但芯片制造商需要權(quán)衡利弊,考慮其高昂的成本及ASML老款設(shè)備的可靠性問題。
    的頭像 發(fā)表于 05-15 09:34 ?297次閱讀

    光刻機的常見類型解析

    光刻機有很多種類型,但有時也很難用類型進行分類來區(qū)別設(shè)備,因為有些分類僅是在某一分類下的分類。
    發(fā)表于 04-10 15:02 ?1324次閱讀
    <b class='flag-5'>光刻機</b>的常見類型解析

    光刻機的發(fā)展歷程及工藝流程

    光刻機經(jīng)歷了5代產(chǎn)品發(fā)展,每次改進和創(chuàng)新都顯著提升了光刻機所能實現(xiàn)的最小工藝節(jié)點。按照使用光源依次從g-line、i-line發(fā)展到KrF、ArF和EUV;按照工作原理依次從接觸接近式光刻機發(fā)展到浸沒步進式投影
    發(fā)表于 03-21 11:31 ?4802次閱讀
    <b class='flag-5'>光刻機</b>的發(fā)展歷程及工藝流程

    ASML 首臺新款 EUV 光刻機 Twinscan NXE:3800E 完成安裝

    3 月 13 日消息,光刻機制造商 ASML 宣布其首臺新款 EUV 光刻機 Twinscan NXE:3800E 已完成安裝,新機型將帶來更高的生產(chǎn)效率。 ▲ ASML 在 X 平臺上的相關(guān)動態(tài)
    的頭像 發(fā)表于 03-14 08:42 ?424次閱讀
    ASML 首臺新款 EUV <b class='flag-5'>光刻機</b> Twinscan NXE:3800E 完成安裝

    光刻膠和光刻機的區(qū)別

    光刻膠是一種涂覆在半導(dǎo)體器件表面的特殊液體材料,可以通過光刻機上的模板或掩模來進行曝光。
    的頭像 發(fā)表于 03-04 17:19 ?2946次閱讀

    佳能預(yù)計到2024年出貨納米壓印光刻機

    Takeishi向英國《金融時報》表示,公司計劃于2024年開始出貨其納米壓印光刻機FPA-1200NZ2C,并補充說芯片可以輕松以低成本制造。2023年11月,該公司表示該設(shè)備的價
    的頭像 發(fā)表于 02-01 15:42 ?740次閱讀
    佳能預(yù)計到2024年出貨納米壓印<b class='flag-5'>光刻機</b>

    光刻機結(jié)構(gòu)及IC制造工藝工作原理

    光刻機是微電子制造的關(guān)鍵設(shè)備,廣泛應(yīng)用于集成電路、平面顯示器、LED、MEMS等領(lǐng)域。在集成電路制造中,光刻機被用于
    發(fā)表于 01-29 09:37 ?1942次閱讀
    <b class='flag-5'>光刻機</b>結(jié)構(gòu)及IC<b class='flag-5'>制造</b>工藝工作原理

    狂加工一年!ASML把欠中國的600億光刻機,成功交付了

    一系列環(huán)節(jié)如制造、封裝、測試等。 ? 在這其中,制造過程顯得尤為關(guān)鍵,而高端光刻機則是制造過程中不可或缺的設(shè)備。
    的頭像 發(fā)表于 01-17 17:56 ?560次閱讀

    英特爾搶下6種ASML HIGH NA光刻機

    如果我們假設(shè)光刻機成本為 3.5 億至 4 億美元,并且 2024 年 10 個光刻機的HIGH NA 銷售額將在 35億至40億美元之間。
    的頭像 發(fā)表于 12-28 11:31 ?736次閱讀