0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

快速理解Verilog語言

汽車玩家 ? 來源:今日頭條 ? 作者:電子領(lǐng)學(xué)君 ? 2020-03-22 17:29 ? 次閱讀

Verilog HDL簡(jiǎn)稱Verilog,它是使用最廣泛的硬件描述語言。

誕生時(shí)間:1983年。

可以分為5個(gè)層次:(自頂向下)

第一層,系統(tǒng)級(jí)(system):用高級(jí)語言設(shè)計(jì)模塊的外部性能的模型

第二層,算法級(jí)(Algorithmic):用高級(jí)語言實(shí)現(xiàn)設(shè)計(jì)算法的模型

第三層,寄存器傳輸級(jí)(RTL):描述數(shù)據(jù)在寄存器之間的流動(dòng)以及如何處理這些數(shù)據(jù)的模型。這是其他高級(jí)語言不具備的能力

第四層,門級(jí)(gate-level):描述邏輯門以及邏輯門之間的連接的模型

第五層,開關(guān)級(jí)(switch-level):描述器件中三極管和存儲(chǔ)節(jié)點(diǎn)以及它們之間連接的模型

注:前三層屬于行為級(jí),第四層屬于邏輯級(jí),第五層屬于開關(guān)級(jí)

Verilog的特點(diǎn):

1. 它與C語言相似,語法靈活

2. 能夠抽象出電路行為和結(jié)構(gòu)

3. 支持邏輯設(shè)計(jì)中層次與范圍的描述

4. 具有電路仿真和驗(yàn)證機(jī)制

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    語言模型(LLM)快速理解

    自2022年,ChatGPT發(fā)布之后,大語言模型(LargeLanguageModel),簡(jiǎn)稱LLM掀起了一波狂潮。作為學(xué)習(xí)理解LLM的開始,先來整體理解一下大語言模型。一、發(fā)展歷史大
    的頭像 發(fā)表于 06-04 08:27 ?662次閱讀
    大<b class='flag-5'>語言</b>模型(LLM)<b class='flag-5'>快速</b><b class='flag-5'>理解</b>

    Verilog到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    Verilog與VHDL語法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言模板后,也可以對(duì)比查看Verilog和VHDL之間的差異。
    的頭像 發(fā)表于 04-28 17:47 ?1598次閱讀
    <b class='flag-5'>Verilog</b>到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    如何快速入門FPGA

    快速入門FPGA可以遵循以下步驟: 理解FPGA基礎(chǔ)知識(shí): FPGA(Field Programmable Gate Array)即現(xiàn)場(chǎng)可編程門陣列,是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制
    發(fā)表于 04-28 09:06

    如何快速入門FPGA?

    快速入門FPGA可以遵循以下步驟: 理解FPGA基礎(chǔ)知識(shí): FPGA(Field Programmable Gate Array)即現(xiàn)場(chǎng)可編程門陣列,是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制
    發(fā)表于 04-28 08:54

    fpga是用c語言還是verilog

    FPGA(現(xiàn)場(chǎng)可編程邏輯門陣列)開發(fā)主要使用的編程語言是硬件描述語言(HDL),其中Verilog是最常用的編程語言之一。而C語言通常用于傳
    的頭像 發(fā)表于 03-27 14:38 ?1218次閱讀

    fpga通用語言是什么

    FPGA(現(xiàn)場(chǎng)可編程門陣列)的通用語言主要是指用于描述FPGA內(nèi)部邏輯結(jié)構(gòu)和行為的硬件描述語言。目前,Verilog HDL和VHDL是兩種最為廣泛使用的FPGA編程語言。
    的頭像 發(fā)表于 03-15 14:36 ?399次閱讀

    fpga用的是什么編程語言 fpga用什么語言開發(fā)

    fpga用的是什么編程語言 FPGA(現(xiàn)場(chǎng)可編程邏輯門陣列)主要使用的編程語言是硬件描述語言(HDL)。在眾多的HDL中,Verilog HDL和VHDL是最常用的兩種。
    的頭像 發(fā)表于 03-14 17:09 ?2808次閱讀

    fpga芯片用什么編程語言

    FPGA芯片主要使用的編程語言包括Verilog HDL和VHDL。這兩種語言都是硬件描述語言,用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)和行為。
    的頭像 發(fā)表于 03-14 16:07 ?1292次閱讀

    verilog與其他編程語言的接口機(jī)制

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語言相比,Verilog具有與硬件緊密結(jié)合的特點(diǎn),因此其接口機(jī)制也有一些與眾不同之處。本文將詳細(xì)介紹
    的頭像 發(fā)表于 02-23 10:22 ?466次閱讀

    verilog調(diào)用模塊端口對(duì)應(yīng)方式

    Verilog中的模塊端口對(duì)應(yīng)方式,并提供示例代碼和詳細(xì)解釋,以幫助讀者更好地理解和應(yīng)用。 首先,我們來了解一下Verilog中的模塊和模塊端口。一個(gè)Verilog模塊被定義為包含一組
    的頭像 發(fā)表于 02-23 10:20 ?1083次閱讀

    verilog雙向端口的使用

    Verilog硬件描述語言中,端口是指連接模塊(Module)與其他模塊、寄存器或是物理設(shè)備的輸入或輸出接口。單向端口可以作為輸入或輸出使用,而雙向端口具有雙重作用,既可以接收輸入信號(hào),又可以輸出
    的頭像 發(fā)表于 02-23 10:18 ?972次閱讀

    verilog inout用法與仿真

    Verilog語言是一種硬件描述語言(HDL),用于描述數(shù)字邏輯電路和系統(tǒng)。它是一種非常強(qiáng)大且廣泛使用的語言,在數(shù)字電路設(shè)計(jì)中扮演著重要的角色。其中, inout 是
    的頭像 發(fā)表于 02-23 10:15 ?1953次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog 中,函數(shù) (Function) 是一種用于執(zhí)行特定任務(wù)并返回一個(gè)值的可重用代碼塊。函數(shù)在
    的頭像 發(fā)表于 02-22 15:49 ?3918次閱讀

    講一講芯片設(shè)計(jì)中的verilog是什么

    相信不少人都聽過verilog這個(gè)詞,今天我就想講一講我所理解verilog是什么。
    的頭像 發(fā)表于 12-04 13:52 ?944次閱讀

    Verilog設(shè)計(jì)快速入門秘籍

    Verilog設(shè)計(jì)快速入門秘籍,拿走不謝!
    發(fā)表于 11-03 12:00