0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

4X4鍵盤及8位數(shù)碼管顯示的密碼鎖設(shè)計資料和代碼概述

Wildesbeast ? 來源:單片機教程網(wǎng) ? 作者:h1654155169.2086 ? 2020-05-05 15:11 ? 次閱讀

1. 實驗任務(wù)

用4×4組成0-9數(shù)字鍵及確認鍵構(gòu)成的密碼鎖。

用8位數(shù)碼管組成顯示電路提示信息,當(dāng)輸入密碼時,只顯示“8.”,當(dāng)密碼位數(shù)輸入完畢按下確認鍵時,對輸入的密碼與設(shè)定的密碼進行比較,若密碼正確,則門開,此處用LED發(fā)光二極管亮一秒鐘做為提示,同時發(fā)出“叮咚”聲;若密碼不正確,禁止按鍵輸入3秒,同時發(fā)出“嘀、嘀”報警聲;若在3秒之內(nèi)仍有按鍵按下,則禁止按鍵輸入3秒被重新禁止。

2. 電路原理

圖4.33.1

3. 系統(tǒng)板上硬件連線

(1). 把“單片機系統(tǒng)”區(qū)域中的P0.0-P0.7用8芯排線連接到“動態(tài)數(shù)碼顯示”區(qū)域中的ABCDEFGH端子上。

(2). 把“單片機系統(tǒng)“區(qū)域中的P2.0-P2.7用8芯排線連接到“動態(tài)數(shù)碼顯示”區(qū)域中的S1S2S3S4S5S6S7S8端子上。

(3). 把“單片機系統(tǒng)”區(qū)域中的P3.0-P3.7用8芯排線連接到“4×4行列式鍵盤”區(qū)域中的R1R2R3R4C1C2C3C4端子上。

(4). 把“單片機系統(tǒng)”區(qū)域中的P1.0用導(dǎo)線連接到“八路發(fā)光二極管模塊”區(qū)域中的L2端子上。

(5). 把“單片機系統(tǒng)”區(qū)域中的P1.7用導(dǎo)線連接到“音頻放大模塊”區(qū)域中的SPK IN端子上。

(6). 把“音頻放大模塊”區(qū)域中的SPK OUT接到喇叭上。

4. 程序設(shè)計內(nèi)容

(1). 4×4行列式鍵盤識別技術(shù):有關(guān)這方面內(nèi)容前面已經(jīng)討論過,這里不再重復(fù)。

(2). 8位數(shù)碼顯示,初始化時,顯示“P”,接著輸入最大6位數(shù)的密碼,當(dāng)密碼輸入完后,按下確認鍵,進行密碼比較,然后給出相應(yīng)的信息。在輸入密碼過程中,顯示器只顯示“8.”。當(dāng)數(shù)字輸入超過6個時,給出報警信息。在密碼輸入過程中,若輸入錯誤,可以利用“DEL”鍵刪除剛才輸入的錯誤的數(shù)字。

(3). 4×4行列式鍵盤的按鍵功能分布圖如圖4.33.2所示:

圖4.33.2

5. C語言源程序

#include 《AT89X52.H》

unsigned char ps[]={1,2,3,4,5};

unsigned char code dispbit[]={0xfe,0xfd,0xfb,0xf7,

0xef,0xdf,0xbf,0x7f};

unsigned char code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,

0x6d,0x7d,0x07,0x7f,0x6f,

0x77,0x7c,0x39,0x5e,0x79,0x71,

0x00,0x40,0x73,0xff};

unsigned char dispbuf[8]={18,16,16,16,16,16,16,16};

unsigned char dispcount;

unsigned char flashcount;

unsigned char temp;

unsigned char key;

unsigned char keycount;

unsigned char pslen=5;

unsigned char getps[6];

bit keyoverflag;

bit errorflag;

bit rightflag;

unsigned int second3;

unsigned int aa,bb;

unsigned int cc;

bit okflag;

bit alarmflag;

bit hibitflag;

unsigned char oka,okb;

void main(void)

{

unsigned char i,j;

TMOD=0x01;

TH0=(65536-500)/256;

TL0=(65536-500)%6;

TR0=1;

ET0=1;

EA=1;

while(1)

{

P3=0xff;

P3_4=0;

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

for(i=10;i》0;i--)

for(j=248;j》0;j--);

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

switch(temp)

{

case 0x0e:

key=7;

break;

case 0x0d:

key=8;

break;

case 0x0b:

key=9;

break;

case 0x07:

key=10;

break;

}

temp=P3;

P1_1=~P1_1;

if((key》=0) && (key《10))

{

if(keycount《6)

{

getps[keycount]=key;

dispbuf[keycount+2]=19;

}

keycount++;

if(keycount==6)

{

keycount=6;

}

else if(keycount》6)

{

keycount=6;

keyoverflag=1;//key overflow

}

}

else if(key==12)//delete key

{

if(keycount》0)

{

keycount--;

getps[keycount]=0;

dispbuf[keycount+2]=16;

}

else

{

keyoverflag=1;

}

}

else if(key==15)//enter key

{

if(keycount!=pslen)

{

errorflag=1;

rightflag=0;

second3=0;

}

else

{

for(i=0;i《keycount;i++)

{

if(getps[i]!=ps[i])

{

i=keycount;

errorflag=1;

rightflag=0;

second3=0;

goto a;

}

}

errorflag=0;

rightflag=1;

a: i=keycount;

}

}

temp=temp & 0x0f;

while(temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

}

keyoverflag=0;//?????????

}

}

P3=0xff;

P3_5=0;

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

for(i=10;i》0;i--)

for(j=248;j》0;j--);

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

switch(temp)

{

case 0x0e:

key=4;

break;

case 0x0d:

key=5;

break;

case 0x0b:

key=6;

break;

case 0x07:

key=11;

break;

}

temp=P3;

P1_1=~P1_1;

if((key》=0) && (key《10))

{

if(keycount《6)

{

getps[keycount]=key;

dispbuf[keycount+2]=19;

}

keycount++;

if(keycount==6)

{

keycount=6;

}

else if(keycount》6)

{

keycount=6;

keyoverflag=1;//key overflow

}

}

else if(key==12)//delete key

{

if(keycount》0)

{

keycount--;

getps[keycount]=0;

dispbuf[keycount+2]=16;

}

else

{

keyoverflag=1;

}

}

else if(key==15)//enter key

{

if(keycount!=pslen)

{

errorflag=1;

rightflag=0;

second3=0;

}

else

{

for(i=0;i《keycount;i++)

{

if(getps[i]!=ps[i])

{

i=keycount;

errorflag=1;

rightflag=0;

second3=0;

goto a4;

}

}

errorflag=0;

rightflag=1;

a4: i=keycount;

}

}

temp=temp & 0x0f;

while(temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

}

keyoverflag=0;//?????????

}

}

P3=0xff;

P3_6=0;

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

for(i=10;i》0;i--)

for(j=248;j》0;j--);

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

switch(temp)

{

case 0x0e:

key=1;

break;

case 0x0d:

key=2;

break;

case 0x0b:

key=3;

break;

case 0x07:

key=12;

break;

}

temp=P3;

P1_1=~P1_1;

if((key》=0) && (key《10))

{

if(keycount《6)

{

getps[keycount]=key;

dispbuf[keycount+2]=19;

}

keycount++;

if(keycount==6)

{

keycount=6;

}

else if(keycount》6)

{

keycount=6;

keyoverflag=1;//key overflow

}

}

else if(key==12)//delete key

{

if(keycount》0)

{

keycount--;

getps[keycount]=0;

dispbuf[keycount+2]=16;

}

else

{

keyoverflag=1;

}

}

else if(key==15)//enter key

{

if(keycount!=pslen)

{

errorflag=1;

rightflag=0;

second3=0;

}

else

{

for(i=0;i《keycount;i++)

{

if(getps[i]!=ps[i])

{

i=keycount;

errorflag=1;

rightflag=0;

second3=0;

goto a3;

}

}

errorflag=0;

rightflag=1;

a3: i=keycount;

}

}

temp=temp & 0x0f;

while(temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

}

keyoverflag=0;//?????????

}

}

P3=0xff;

P3_7=0;

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

for(i=10;i》0;i--)

for(j=248;j》0;j--);

temp=P3;

temp=temp & 0x0f;

if (temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

switch(temp)

{

case 0x0e:

key=0;

break;

case 0x0d:

key=13;

break;

case 0x0b:

key=14;

break;

case 0x07:

key=15;

break;

}

temp=P3;

P1_1=~P1_1;

if((key》=0) && (key《10))

{

if(keycount《6)

{

getps[keycount]=key;

dispbuf[keycount+2]=19;

}

keycount++;

if(keycount==6)

{

keycount=6;

}

else if(keycount》6)

{

keycount=6;

keyoverflag=1;//key overflow

}

}

else if(key==12)//delete key

{

if(keycount》0)

{

keycount--;

getps[keycount]=0;

dispbuf[keycount+2]=16;

}

else

{

keyoverflag=1;

}

}

else if(key==15)//enter key

{

if(keycount!=pslen)

{

errorflag=1;

rightflag=0;

second3=0;

}

else

{

for(i=0;i《keycount;i++)

{

if(getps[i]!=ps[i])

{

i=keycount;

errorflag=1;

rightflag=0;

second3=0;

goto a2;

}

}

errorflag=0;

rightflag=1;

a2: i=keycount;

}

}

temp=temp & 0x0f;

while(temp!=0x0f)

{

temp=P3;

temp=temp & 0x0f;

}

keyoverflag=0;//?????????

}

}

}

}

void t0(void) interrupt 1 using 0

{

TH0=(65536-500)/256;

TL0=(65536-500)%6;

flashcount++;

if(flashcount==8)

{

flashcount=0;

P0=dispcode[dispbuf[dispcount]];

P2=dispbit[dispcount];

dispcount++;

if(dispcount==8)

{

dispcount=0;

}

}

if((errorflag==1) && (rightflag==0))

{

bb++;

if(bb==800)

{

bb=0;

alarmflag=~alarmflag;

}

if(alarmflag==1)//sound alarm signal

{

P1_7=~P1_7;

}

aa++;

if(aa==800)//light alarm signal

{

aa=0;

P1_0=~P1_0;

}

second3++;

if(second3==6400)

{

second3=0;

errorflag=0;

rightflag=0;

alarmflag=0;

bb=0;

aa=0;

}

}

else if((errorflag==0) && (rightflag==1))

{

P1_0=0;

cc++;

if(cc《1000)

{

okflag=1;

}

else if(cc《2000)

{

okflag=0;

}

else

{

errorflag=0;

rightflag=0;

P1_7=1;

cc=0;

oka=0;

okb=0;

okflag=0;

P1_0=1;

}

if(okflag==1)

{

oka++;

if(oka==2)

{

oka=0;

P1_7=~P1_7;

}

}

else

{

okb++;

if(okb==3)

{

okb=0;

P1_7=~P1_7;

}

}

}

if(keyoverflag==1)

{

P1_7=~P1_7;

}

}

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 單片機
    +關(guān)注

    關(guān)注

    6023

    文章

    44376

    瀏覽量

    628319
  • 密碼鎖
    +關(guān)注

    關(guān)注

    6

    文章

    249

    瀏覽量

    34783
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    31

    文章

    1869

    瀏覽量

    90518
收藏 人收藏

    評論

    相關(guān)推薦

    4×4鍵盤8位數(shù)碼管顯示構(gòu)成的電子密碼鎖

    4×4鍵盤8位數(shù)碼管顯示構(gòu)成的電子密碼鎖
    發(fā)表于 08-20 19:41

    基于單片機的電子密碼鎖

    功能簡述:電子密碼鎖具有防盜報警功能,它克服了機械式密碼鎖密碼量少、安全性能差的缺點。用戶可以設(shè)置、修改密碼,若三次輸入密碼不正確會發(fā)出報警
    發(fā)表于 05-09 21:47

    基于單片機的電子密碼鎖設(shè)計

    功能簡述:電子密碼鎖具有防盜報警功能,它克服了機械式密碼鎖密碼量少、安全性能差的缺點。用戶可以設(shè)置、修改密碼,若三次輸入密碼不正確會發(fā)出報警
    發(fā)表于 05-09 22:09

    4X4鍵盤接口數(shù)碼管顯示

    用C51仿真4X4鍵盤,然后在數(shù)碼管顯示。
    發(fā)表于 10-22 14:55

    急求基于單片機控制的電子密碼鎖

    基于單片機控制的電子密碼鎖一.設(shè)計要求(一)基本功能1.狀態(tài)顯示功能:鎖定狀態(tài)時系統(tǒng)用3位數(shù)碼管顯示OFF,用3位數(shù)碼管
    發(fā)表于 12-03 09:18

    基于單片機控制的電子密碼鎖

    單片機課程設(shè)計項目系列: 基于單片機控制的電子密碼鎖一. 設(shè)計要求(一)基本功能1. 狀態(tài)顯示功能:鎖定狀態(tài)時系統(tǒng)用3位數(shù)碼管顯示OFF,用3位數(shù)碼
    發(fā)表于 12-03 09:26

    位數(shù)密碼鎖

    字, 并由四位數(shù)碼管顯示 , 然后按L鍵將輸入的數(shù)字設(shè)置為密碼。該密碼由另外四位數(shù)碼管顯示(也可
    發(fā)表于 09-08 15:08

    4X4鍵盤的與CPU的接口原理

    一、實驗?zāi)康?. 學(xué)習(xí)4X4鍵盤的與CPU的接口原理2. 掌握鍵盤芯片HD7279的使用,及8位數(shù)碼管
    發(fā)表于 07-26 08:24

    4×4鍵盤8位數(shù)碼管顯示構(gòu)成的電子密碼鎖原理及實驗

    4×4組成0-9數(shù)字鍵及確認鍵。  用8位數(shù)碼管組成顯示電路提示信息,當(dāng)輸入密碼時,只
    發(fā)表于 01-08 11:46 ?415次下載

    8位數(shù)碼管電子時鐘仿真圖及程序源代碼

    利用8位數(shù)碼管顯示時間,原理與4位數(shù)碼管顯示的基本一樣。
    的頭像 發(fā)表于 01-21 16:24 ?1.4w次閱讀
    <b class='flag-5'>8</b><b class='flag-5'>位數(shù)碼管</b>電子時鐘仿真圖及程序源<b class='flag-5'>代碼</b>

    數(shù)碼管顯示4X4鍵盤矩陣按鍵的仿真原理圖免費下載

    本文檔的主要內(nèi)容詳細介紹的是數(shù)碼管顯示4X4鍵盤矩陣按鍵的仿真原理圖免費下載。
    發(fā)表于 12-31 10:04 ?42次下載
    <b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b><b class='flag-5'>4X4</b><b class='flag-5'>鍵盤</b>矩陣按鍵的仿真原理圖免費下載

    使用單片機實現(xiàn)數(shù)碼管顯示4X4鍵盤矩陣按鍵的程序和仿真資料免費下載

    本文檔的主要內(nèi)容詳細介紹的是使用單片機實現(xiàn)數(shù)碼管顯示4X4鍵盤矩陣按鍵的程序和仿真資料免費下載。
    發(fā)表于 06-01 14:18 ?31次下載
    使用單片機實現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b><b class='flag-5'>4X4</b><b class='flag-5'>鍵盤</b>矩陣按鍵的程序和仿真<b class='flag-5'>資料</b>免費下載

    數(shù)碼管顯示4X4鍵盤矩陣按鍵的程序和電路圖免費下載

    本文檔的做作主要內(nèi)容詳細介紹的是數(shù)碼管顯示4X4鍵盤矩陣按鍵的程序和電路圖免費下載。
    發(fā)表于 06-03 15:01 ?52次下載
    <b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b><b class='flag-5'>4X4</b><b class='flag-5'>鍵盤</b>矩陣按鍵的程序和電路圖免費下載

    使用51單片機實現(xiàn)數(shù)碼管顯示4密碼鎖的設(shè)計論文

    與數(shù)據(jù)存儲器單元,結(jié)合外圍的鍵盤輸入、數(shù)碼管顯示、報警、開鎖等電路模塊。它能完成以下功能:正確輸入密碼前提下,開鎖,數(shù)碼管
    發(fā)表于 06-16 17:40 ?33次下載
    使用51單片機實現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b><b class='flag-5'>4</b><b class='flag-5'>位</b><b class='flag-5'>密碼鎖</b>的設(shè)計論文

    數(shù)碼管顯示4X4鍵盤矩陣按鍵 PROTEUS 和51單片機教程(附仿真文件+源代碼

    功能:數(shù)碼管顯示4X4鍵盤矩陣按鍵 PROTEUS 和51單片機教程程序源代碼如下:/*數(shù)碼管
    發(fā)表于 11-23 17:21 ?41次下載
    <b class='flag-5'>數(shù)碼管</b><b class='flag-5'>顯示</b><b class='flag-5'>4X4</b><b class='flag-5'>鍵盤</b>矩陣按鍵  PROTEUS 和51單片機教程(附仿真文件+源<b class='flag-5'>代碼</b>)