0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

重磅!臺(tái)積電工藝全面解讀及對(duì)疫情的預(yù)期

21克888 ? 來(lái)源:電子發(fā)燒友綜合 ? 作者:elecfans ? 2020-04-26 09:24 ? 次閱讀

一、臺(tái)積電第一季度財(cái)報(bào)


臺(tái)積電一季度收入為103.1億美元,同比增長(zhǎng)45.2%,較上一季度下降0.8%。相較于去年同期,2020年第一季度收入同比增長(zhǎng)42.0%,而凈收入和稀釋后的每股收益均增長(zhǎng)90.6%。對(duì)比2019年第四季度,該季度收入則環(huán)比下降2.1%,凈收入增長(zhǎng)0.8%。綜合來(lái)看,該季度毛利率達(dá)到51.8%,營(yíng)業(yè)利潤(rùn)率為41.4%,凈利潤(rùn)率為37.7%。

從制程結(jié)構(gòu)來(lái)看,臺(tái)積電28nm及以下制程營(yíng)收占比為69.5%,其中7nm占比達(dá)35%,16nm占比為19%,28nm占比為14%。




從應(yīng)用端看,智能手機(jī)占比為49%,高性能計(jì)算占30%,物聯(lián)網(wǎng)、汽車、消費(fèi)電子等各占9%、4%、5%和3%。智能手機(jī)、汽車和其他業(yè)務(wù)的營(yíng)收同比分別下降了9%、1%和5%,HPC、物聯(lián)網(wǎng)和消費(fèi)電子的營(yíng)收分別增長(zhǎng)了3%、8%和44%。



二、臺(tái)積電目前主要制程


擁有最先進(jìn)的制程技術(shù)是臺(tái)積電在專業(yè)集成電路制造服務(wù)領(lǐng)域取得強(qiáng)大市場(chǎng)地位的重要關(guān)鍵。

2019年,有50% 的晶圓營(yíng)收來(lái)自先進(jìn)制程技術(shù)(16 納米及以下更先進(jìn)制程),高于2018年的41%。臺(tái)積電提供客戶專業(yè)集成電路制造服務(wù)領(lǐng)域中最全面的制程技術(shù),并且持續(xù)投資先進(jìn)及特殊制程技術(shù),以提供客戶更多附加價(jià)值。這是臺(tái)積電有別于競(jìng)爭(zhēng)對(duì)手的差異化競(jìng)爭(zhēng)優(yōu)勢(shì)。

邏輯制程技術(shù)

● 5納米鰭式場(chǎng)效電晶體制程(Fin Field-Effect Transistor, FinFET)(N5)技術(shù)為臺(tái)積公司推出的最新技術(shù)。此一領(lǐng)先全球的技術(shù)于2019已接獲多個(gè)客戶產(chǎn)品投片,包含行動(dòng)通訊以及高效能運(yùn)算產(chǎn)品,并預(yù)計(jì)于2020年上半年開始量產(chǎn)。

相較于7納米FinFET(N7)技術(shù),N5技術(shù)速度增快約15%,或者功耗降低約 30%。此外,N5技術(shù)自規(guī)劃開始,便同時(shí)針對(duì)行動(dòng)通訊與高效能運(yùn)算應(yīng)用提供優(yōu)化的制程選項(xiàng)。


● 5納米FinFET強(qiáng)效版(N5P)技術(shù)為N5技術(shù)的效能強(qiáng)化版技術(shù),并采用相同的設(shè)計(jì)準(zhǔn)則。相較于 N7技術(shù),N5P技術(shù)速度增快約20%,或功耗降低約40%。N5P技術(shù)的設(shè)計(jì)套件預(yù)計(jì)于2019年第二季進(jìn)行下一階段 N5 技術(shù)更新時(shí)推出。

● 6納米FinFET(N6)技術(shù)于2019年成功完成產(chǎn)品良率驗(yàn)證。由于N6技術(shù)采用極紫外光(ExtremeUltraviolet, EUV)微影技術(shù),能夠減少光罩?jǐn)?shù)量,因此,如果與N7技術(shù)生產(chǎn)相同產(chǎn)品相較,采用N6技術(shù)生產(chǎn)可以獲得更高的良率,并縮短產(chǎn)品生產(chǎn)周期。此外,與N7技術(shù)相較,N6技術(shù)的邏輯晶體管密度提高約 18%,加上因光罩總數(shù)減少而獲得較高良率,能夠協(xié)助客戶在一片晶圓上,獲得更多可用的晶粒。
另外,N6技術(shù)的設(shè)計(jì)法則與N7技術(shù)兼容,亦可大幅縮短客戶產(chǎn)品設(shè)計(jì)周期和上市的時(shí)間。N6技術(shù)于2020年第一季開始試產(chǎn),并預(yù)計(jì)于2020年底前進(jìn)入量產(chǎn)。

● N7技術(shù)是臺(tái)積公司量產(chǎn)速度最快的技術(shù)之一,并同時(shí)針對(duì)行動(dòng)運(yùn)算應(yīng)用及高效能操作數(shù)件提供優(yōu)化的制程。總計(jì)截至2019年底共接獲超過(guò)100個(gè)客戶產(chǎn)品投片,涵蓋相當(dāng)廣泛的應(yīng)用,包含行動(dòng)裝置、游戲機(jī)、人工智能、中央處理器、圖形處理器,以及網(wǎng)絡(luò)連接裝置等。此外,7納米FinFET強(qiáng)效版(N7+)技術(shù)于2019年開始量產(chǎn),協(xié)助客戶產(chǎn)品大量進(jìn)入市場(chǎng)。N7+技術(shù)是全球集成電路制造服務(wù)領(lǐng)域首個(gè)應(yīng)用極紫外光于商業(yè)運(yùn)轉(zhuǎn)的技術(shù)。此一技術(shù)的成功,除了證明臺(tái)積公司領(lǐng)先全球的EUV技術(shù)量產(chǎn)能力,也為6納米和更先進(jìn)技術(shù)奠定良好基礎(chǔ)。

● 12納米FinFET精簡(jiǎn)型強(qiáng)效版(12nm FinFET Compact Plus, 12FFC+)技術(shù)與16納米FinFET精簡(jiǎn)型強(qiáng)效版(16nm FinFET Compact Plus,16FFC+)技術(shù)系臺(tái)積公司繼16納米FinFET強(qiáng)效版(16FF+) 技術(shù)、16納米FinFET精簡(jiǎn)型(16nm FinFET Compact, 16FFC)技術(shù)及12納米FinFET精簡(jiǎn)型(12nm FinFET Compact, 12FFC)技術(shù)之后,所推出的最新16/12納米系列技術(shù),擁有集成電路制造服務(wù)領(lǐng)域16/14納米技術(shù)中最佳產(chǎn)品效能與功耗優(yōu)勢(shì),并于2019年進(jìn)入試產(chǎn)。

16FF+技術(shù)系針對(duì)高效能產(chǎn)品應(yīng)用,包括行動(dòng)裝置、服務(wù)器、繪圖芯片,及加密貨幣等產(chǎn)品。12FFC+、12FFC、16FFC+及16FFC則皆能支援客戶主流及超低功耗(Ultra-LowPower, ULP)產(chǎn)品應(yīng)用,包括中、低階手機(jī)、消費(fèi)性電子、數(shù)位電視、物聯(lián)網(wǎng)等。總計(jì)目前 12FFC+、12FFC、16FFC+、16FFC、16FF+已接獲超過(guò) 500個(gè)客戶產(chǎn)品投片,其中絕大部分都是第一次投片即生產(chǎn)成功。

● 22納米超低漏電(Ultra-Low Leakage, ULL)(22ULL)技術(shù)于2019年進(jìn)入量產(chǎn),能夠支援物聯(lián)網(wǎng)及穿戴式裝置相關(guān)產(chǎn)品應(yīng)用。同時(shí),此一技術(shù)的低操作電壓(Low Operating Voltage, Low Vdd)技術(shù)也于2019年準(zhǔn)備就緒。與40納米超低功耗(Ultra-Low Power, ULP)(40ULP)及55納米ULP制程相較,22ULL技術(shù)提供新的ULL元件、ULL靜態(tài)隨機(jī)存取記憶體(Static Random Access Memory, SRAM),和低操作電壓技術(shù),能夠大幅降低功耗。

● 22納米ULP(22ULP)技術(shù)發(fā)展系根基于臺(tái)積公司領(lǐng)先業(yè)界的28納米技術(shù),并于2019開始量產(chǎn)。與28納米高效能精簡(jiǎn)型強(qiáng)效版(28nm High Performance Compact Plus, 28HPC+)技術(shù)相較,22ULP技術(shù)擁有芯片面積縮小10%,及效能提升10% 或功耗降低20%的優(yōu)勢(shì),以滿足影像處理器、數(shù)位電視、機(jī)上盒、智能型手機(jī)及消費(fèi)性產(chǎn)品等多種應(yīng)用。

● 28HPC+技術(shù)截至2019年底,總計(jì)接獲超過(guò)300個(gè)客戶產(chǎn)品投片。28HPC+ 技術(shù)進(jìn)一步提升主流智能型手機(jī)、數(shù)位電視、儲(chǔ)存、音效處理及系統(tǒng)單芯片等產(chǎn)品應(yīng)用的效能或降低其功耗。與28納米高效能精簡(jiǎn)型(High Performance Compact)(28HPC)技術(shù)相較,28HPC+ 技術(shù)能夠進(jìn)一步提升效能約15%或降低漏電約50%。

● 40ULP技術(shù)截至2019年底共接獲超過(guò)100個(gè)客戶產(chǎn)品投片。此技術(shù)支援多種物聯(lián)網(wǎng)及穿戴式裝置相關(guān)產(chǎn)品應(yīng)用,包含無(wú)線網(wǎng)絡(luò)連接產(chǎn)品、穿戴式應(yīng)用處理器及微控制器(Micro Control Unit, MCU)(Sensor Hub) 等。此外, 臺(tái)積公司采用領(lǐng)先的40ULP Low Vdd技術(shù),為物聯(lián)網(wǎng)產(chǎn)品及穿戴式聯(lián)網(wǎng)產(chǎn)品提供低功耗的解決方案。新的強(qiáng)化版類比元件順利開發(fā)中,將進(jìn)一步強(qiáng)化40ULP平臺(tái),支援客戶未來(lái)更廣泛的類比電路設(shè)計(jì)。

● 55納米ULP(55ULP)技術(shù),截至2019年底共接獲超過(guò)70個(gè)客戶產(chǎn)品投片。相較于55納米低功耗(55LP)技術(shù),55ULP技術(shù)可大幅延長(zhǎng)物聯(lián)網(wǎng)相關(guān)產(chǎn)品的電池使用壽命。此外,55ULP亦整合了射頻制程與嵌入式快閃存儲(chǔ)器制程,能讓客戶的系統(tǒng)單芯片設(shè)計(jì)更為簡(jiǎn)單。
再看特殊制程技術(shù)方面;

特殊制程技術(shù)

● 16FF+技術(shù)自2017年起已為客戶生產(chǎn)汽車產(chǎn)業(yè)應(yīng)用產(chǎn)品。16FFC技術(shù)基礎(chǔ)硅智財(cái)(FoundationIP) 已 通 過(guò) 車 用 電 子 協(xié) 會(huì)(Automotive Electronic Council, AEC)AEC-Q100 Grade-1驗(yàn)證,并且獲得功能性安全標(biāo)準(zhǔn)ISO 26262 ASIL-B認(rèn)證


此外,也導(dǎo)入TSMC 9000A質(zhì)量管理系統(tǒng)來(lái)規(guī)范車用硅智財(cái),透過(guò)和第三方硅智財(cái)供應(yīng)商合作來(lái)建立車用設(shè)計(jì)生態(tài)環(huán)境。臺(tái)積公司持續(xù)開發(fā)更多7納米車用基礎(chǔ)硅智財(cái),并于2020年第一季通過(guò)AEC-Q100 Grade-2驗(yàn)證。

● 16FFC射頻(Radio Frequency, RF)(16FFC RF)技術(shù)于2018年上半年領(lǐng)先業(yè)界為客戶量產(chǎn)第五代行動(dòng)通信技術(shù)5G)RF 芯片。此一技術(shù)進(jìn)一步支援新一代無(wú)線區(qū)域網(wǎng)絡(luò)802.11ax(Wireless Local Area Network, WLAN 802.11ax)、 毫 米 波(Millimeter Wave, mmWave),以及5G智能型手機(jī)等無(wú)線連接應(yīng)用。臺(tái)積公司不斷精進(jìn)16FFC RF技術(shù),不但于2019年領(lǐng)先全球推出首個(gè)截止頻率(Cut-offFrequency, fT)超過(guò)300吉赫茲(GHz) 的 FinFET元件,亦領(lǐng)先全球完成震蕩頻率(Maximum Clock Frequency, fmax)超過(guò)400GHz的最佳FinFET元件的開發(fā)。此一高性能且更具成本效益的技術(shù)也將被采用來(lái)滿足更多的應(yīng)用,例如雷達(dá)、擴(kuò)增實(shí)境/虛擬實(shí)境等,以降低芯片功耗及芯片尺寸并支援SoC設(shè)計(jì)。

● 22ULL RF技術(shù)除了支援磁性隨機(jī)存取存儲(chǔ)器(Magnetic Random Access Memory, MRAM)、可變電阻式存儲(chǔ)器(Resistive Random Access Memory,RRAM),及高截止頻率(Cut-off Frequency, fT)元件之外,于2019年新增支援無(wú)線區(qū)域網(wǎng)絡(luò)功率放大器(Wireless LAN Power Amplifier)元件與極低漏電(Ultra-Low Leakage)元件,進(jìn)一步支援5G 毫米波行動(dòng)無(wú)線通訊和物聯(lián)網(wǎng)應(yīng)用的芯片開發(fā)。

● 22ULL嵌入式電阻式隨機(jī)存取存儲(chǔ)器(ResistiveRandom Access Memory, RRAM) 技術(shù),于 2019年開始試產(chǎn),并預(yù)計(jì)于2020年完成硅智財(cái)(IP)可靠性認(rèn)證。此一技術(shù)可支援各種不同應(yīng)用,例如物聯(lián)網(wǎng)微控制器(IoT MCU)及人工智能(Artificial Intelligence, AI)存儲(chǔ)器元件等。

● 22ULL嵌入式磁性隨機(jī)存取存儲(chǔ)器(Magnetic Random Access Memory, MRAM)技術(shù)硅智財(cái)預(yù)計(jì)于2020年度完成可靠性認(rèn)證。此外,16納米MRAM 技術(shù)也正在開發(fā),且進(jìn)展良好。MRAM 技術(shù)為包括AEC-Q100 Grade-1產(chǎn)品應(yīng)用在內(nèi)的高可靠性MCU產(chǎn)品的eFlash替代方案,提供了一個(gè)極具競(jìng)爭(zhēng)力的轉(zhuǎn)換途徑。

● 28HPC+ RF于2018年領(lǐng)先集成電路制造服務(wù)領(lǐng)域提供首個(gè)RF制程設(shè)計(jì)套件(Process Design Kit,PDK),支援110吉赫茲(GHz)毫米波和150℃車用規(guī)格等元件,以支援5G毫米波射頻及車用雷達(dá)產(chǎn)品的設(shè)計(jì)。2019年,28HPC+RF技術(shù)新增支援極低漏電(Ultra-Low Leakage)元件及嵌入式快閃存儲(chǔ)器(Embedded Flash)??蛻?G毫米波射頻及車用雷達(dá)產(chǎn)品皆已進(jìn)入量產(chǎn)。

● 28 納米ULL嵌入式快閃存儲(chǔ)器制程(eFlash)技術(shù),已于2019年通過(guò)AEC-Q100 Grade-1可靠性認(rèn)證。臺(tái)積公司持續(xù)強(qiáng)化此一技術(shù),并預(yù)計(jì)于2020年通過(guò)更嚴(yán)格的AEC-Q100 Grade-0要求。

● 40ULP嵌入式快閃存儲(chǔ)器制程(eFlash)技術(shù)截至2019年底,總計(jì)接獲超過(guò)40個(gè)客戶產(chǎn)品投片,其中包括微控制器(MCU)、無(wú)線通訊微控制器(Wireless MCU),和安全元件(Security Element)。此外,此一技術(shù)也提供低操作電壓選擇,為物聯(lián)網(wǎng)設(shè)備和可穿戴連接設(shè)備提供低能耗的解決方案。
● 40ULP嵌入式RRAM技術(shù)的IP于2019年完成可靠性認(rèn)證。此一技術(shù)的設(shè)計(jì)套件和硅智財(cái)完全與互補(bǔ)式金屬氧化物半導(dǎo)體(Complementary Metal Oxide Semiconductor, CMOS)邏輯制程兼容,其相關(guān)應(yīng)用包括無(wú)線通訊微控制器(Wireless MCU)、物聯(lián)網(wǎng)裝置,以及穿戴式裝置。

● 持續(xù)強(qiáng)化40ULP類比技術(shù)平臺(tái),包括降低噪聲、改善匹配(Mismatch),及提供低漏電元件等。完整的設(shè)計(jì)技術(shù)文件則預(yù)計(jì)于2020年完成。此一強(qiáng)化的40ULP類比技術(shù)平臺(tái)與數(shù)位邏輯制程完全兼容,并可以同時(shí)支援高精確類比效能、低耗能的類比設(shè)計(jì)。

● 十二寸0.13微米雙載子-互補(bǔ)式金氧半導(dǎo)體-擴(kuò)散金屬氧化半導(dǎo)體強(qiáng)化版(Bipolar-CMOS-DMOS Plus, BCD Plus)技術(shù)于2017年開始生產(chǎn),晶圓出貨于2018年及2019年皆顯著成長(zhǎng)。相較于前一世代0.13微米雙載子 - 互補(bǔ)式金氧半導(dǎo)體-擴(kuò)散金屬氧化半導(dǎo)體強(qiáng)化版(Bipolar-CMOS-DMOS, BCD)技術(shù),此一新制程技術(shù)持續(xù)提供更優(yōu)異的效能及功能強(qiáng)化,以滿足高階智能型手機(jī)的電源管理應(yīng)用。

● 0.18微米第三代BCD制程技術(shù)于2018年完成 AEC-Q100 Grade-1驗(yàn)證,并進(jìn)一步于2019年完成 AEC-Q100 Grade-0 驗(yàn)證。相較于第二代BCD制程技術(shù),此一制程技術(shù)提供更優(yōu)異的成本競(jìng)爭(zhēng)優(yōu)勢(shì)。

● 持續(xù)強(qiáng)化電源硅基板氮化鎵(Gallium Nitride on Silicon)技術(shù),在650伏特和100伏特兩種平臺(tái)上,將氮化鎵功率開關(guān)與驅(qū)動(dòng)器整合,并持續(xù)改善硅基板氮化鎵技術(shù)的可靠度,以支援客戶高功率密度及高效率解決方案的芯片設(shè)計(jì),滿足多元的產(chǎn)品應(yīng)用。650伏特和100伏特氮化鎵集成電路技術(shù)平臺(tái)皆預(yù)計(jì)于2020年開發(fā)完成。

● 硅基板有機(jī)發(fā)光二極體(Organic light-emittingdiode on silicon, OLED-on-Silicon)面板技術(shù)與傳統(tǒng)玻璃基板有機(jī)發(fā)光二極管面板技術(shù)相較,能夠增加像素點(diǎn)密度5到10倍,以支援對(duì)高質(zhì)量擴(kuò)增實(shí)境(Augmented Reality)/ 虛擬實(shí)境(Virtual Reality)眼鏡日益增加的需求。臺(tái)積公司與客戶共同合作,成功同時(shí)在八吋及十二吋高壓技術(shù)上展示此一技術(shù)的可行性,為擴(kuò)增實(shí)境 / 虛擬實(shí)境供應(yīng)商在工業(yè)、醫(yī)療,及消費(fèi)電子多種產(chǎn)品應(yīng)用的下一世代眼鏡開發(fā)上,奠定精實(shí)的基礎(chǔ)。

● 有鑒于許多安防監(jiān)控、汽車、家用,和行動(dòng)通訊應(yīng)用已迅速導(dǎo)入機(jī)器視覺(jué)(Machine Vision)技術(shù),臺(tái)積公司提供下一世代全區(qū)域曝光式(Global Shutter)互補(bǔ)式金氧半導(dǎo)體影像傳感器(CMOS Image Sensor,CIS)與強(qiáng)化版近紅外光CIS技術(shù),使得機(jī)器視覺(jué)系統(tǒng)更安全、更小巧,及更省電。

● 臺(tái)積公司成功采用晶圓級(jí)封裝(CSP)技術(shù)協(xié)助客戶推出全球尺寸最小的互補(bǔ)金屬氧化物半導(dǎo)體微機(jī)電(Micro-electromechanical Systems)單芯片加速度計(jì)(Accelerometer),其尺寸可小于1平方厘米。此一尺寸小巧的優(yōu)勢(shì),能夠協(xié)助許多物聯(lián)網(wǎng)與穿戴裝置減少體積與重量。

先進(jìn)封裝技術(shù)

● 針對(duì)先進(jìn)行動(dòng)裝置的應(yīng)用,成功開發(fā)能夠整合7納米系統(tǒng)單芯片和動(dòng)態(tài)隨機(jī)存取存儲(chǔ)器(DRAM)的整合型扇出層疊封裝技術(shù)(Integrated Fan-Out Packageon-Package, InFO-PoP),并于2019年協(xié)助數(shù)個(gè)客戶產(chǎn)品大量進(jìn)入市場(chǎng)。

● 針對(duì)高效能運(yùn)算的應(yīng)用,能夠在尺寸達(dá)二倍光罩大小的硅基板(Silicon Interposer)上異質(zhì)整合多顆7納米系統(tǒng)單芯片與第二代高頻寬存儲(chǔ)器(HighBandwidth Memory 2, HBM2)的CoWoS?技術(shù),于2019年第三季成功通過(guò)驗(yàn)證。


● 除了CoWoS?技術(shù)之外,能夠整合多顆7納米單芯片的整合型扇出暨封裝基板(InFO on Substrate, InFO_oS)技術(shù)于2019年開始量產(chǎn)。

● 針對(duì)先進(jìn)行動(dòng)裝置及高效能運(yùn)算的應(yīng)用,用于5納米晶圓覆晶封裝的細(xì)小間距陣列銅凸塊(Cu bump)技術(shù)已于2019年成功通過(guò)驗(yàn)證。

● 針對(duì)物聯(lián)網(wǎng)及高階智能型手機(jī)產(chǎn)品應(yīng)用,成功開發(fā)適用于物聯(lián)網(wǎng)應(yīng)用的16納米制程的晶圓級(jí)封裝(WaferLevel Chip Scale Packaging, WLCSP)技術(shù),并于2019年協(xié)助客戶產(chǎn)品大量進(jìn)入市場(chǎng)。

三、未來(lái)展望、機(jī)會(huì)與挑戰(zhàn)


集成電路制造服務(wù)領(lǐng)域這些年來(lái)的成長(zhǎng),主要是由健康的市場(chǎng)需求所驅(qū)動(dòng)。然而,新型冠狀病毒(COVID-19)全球大流行對(duì)整體半導(dǎo)體產(chǎn)業(yè)的供給與需求造成不確定性,臺(tái)積電考慮可能的影響后,預(yù)估整體半導(dǎo)體產(chǎn)業(yè)(不含內(nèi)存)在2020年將持平或是微幅下跌。


結(jié)合2020年Q1的市場(chǎng)表現(xiàn),臺(tái)積電認(rèn)為下半年由于COVID-19疫情對(duì)手機(jī)、汽車、消費(fèi)電子等終端市場(chǎng)仍存影響,然而在線辦公、高性能計(jì)算5G仍有增長(zhǎng)機(jī)會(huì)。

就長(zhǎng)期而言,因電子產(chǎn)品采用半導(dǎo)體組件的比率提升,無(wú)晶圓廠設(shè)計(jì)公司持續(xù)擴(kuò)大市占率,整合組件制造商委外制造的比例逐漸增加,以及系統(tǒng)公司增加特殊應(yīng)用組件委外制造等因素,自2019年至2024年,集成電路制造服務(wù)領(lǐng)域的成長(zhǎng)可望較整體半導(dǎo)體產(chǎn)業(yè)(不含內(nèi)存)的中個(gè)位數(shù)百分比年復(fù)合成長(zhǎng)率更為強(qiáng)勁。集成電路制造服務(wù)領(lǐng)域位居整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈的上游,其表現(xiàn)與主要產(chǎn)品平臺(tái)的市場(chǎng)狀況息息相關(guān),包含智能型手機(jī)、高效能運(yùn)算、物聯(lián)網(wǎng)、車用電子與消費(fèi)性電子產(chǎn)品。

智能手機(jī)


智能型手機(jī)2018的單位出貨量首次衰退4%,2019年的單位出貨量再次衰退2%,反映許多先進(jìn)國(guó)家和中國(guó)市場(chǎng)已趨近飽和。2020年,隨著5G 商用化開始加速,新的5G 智能型手機(jī)將縮短整體換機(jī)周期,然而,COVID-19 全球大流行將可能造成換機(jī)延后,臺(tái)積電因此預(yù)期智能型手機(jī)市場(chǎng)于2020年將呈現(xiàn)高個(gè)位數(shù)百分比衰退。長(zhǎng)期來(lái)看,由于智能型手機(jī)加速演進(jìn)至5G,加上擁有更高性能、更長(zhǎng)電池使用時(shí)間、生理傳感器及更多人工智能應(yīng)用,智能型手機(jī)將持續(xù)吸引消費(fèi)者的購(gòu)買興趣。低耗電特性的芯片對(duì)手機(jī)制造商而言是不可缺少的一環(huán),擁有最佳成本、耗電及外型尺寸(芯片面積與高度)潛力的系統(tǒng)單芯片設(shè)計(jì),是首選的解決方案,而臺(tái)積電在此制程技術(shù)方面已居領(lǐng)導(dǎo)地位。對(duì)于人工智能應(yīng)用、各種復(fù)雜軟件運(yùn)算與高分辨率視訊處理的高效能需求,將持續(xù)加速先進(jìn)制程技術(shù)的推進(jìn)。

高效能運(yùn)算

高效能運(yùn)算平臺(tái)包括個(gè)人計(jì)算機(jī),平板計(jì)算機(jī),服務(wù)器,基地臺(tái),游戲機(jī)等。2019年,主要高效能運(yùn)算產(chǎn)品單位出貨量下降了4%,主要由于消費(fèi)類個(gè)人計(jì)算機(jī)的更換周期延長(zhǎng),企業(yè)服務(wù)器需求降低以及當(dāng)代游戲機(jī)進(jìn)入產(chǎn)品生命周期尾端;而5G 基地臺(tái)部署及成長(zhǎng)之企業(yè)個(gè)人計(jì)算機(jī)需求部份抵消了衰退.

2020年,受到COVID-19 全球大流行影響,預(yù)期高效能運(yùn)算平臺(tái)單位出貨量將呈現(xiàn)中個(gè)位數(shù)百分比衰退。盡管如此,多項(xiàng)因素預(yù)期將推動(dòng)高效能運(yùn)算平臺(tái)需求,包括:持續(xù)的5G 基地臺(tái)部署,增長(zhǎng)的數(shù)據(jù)中心人工智能服務(wù)器需求以及新一代游戲機(jī)的上市等。這些都需要高效能及高功耗效率的中央處理器、繪圖處理器、網(wǎng)絡(luò)處理器、人工智能加速器與相關(guān)的特殊應(yīng)用積體電路,并將驅(qū)使整體高效能運(yùn)算平臺(tái)朝向更豐富的半導(dǎo)體內(nèi)容與更先進(jìn)制程技術(shù)邁進(jìn)。

物聯(lián)網(wǎng)

物聯(lián)網(wǎng)平臺(tái)包含如智能穿戴、智能音箱、與網(wǎng)絡(luò)監(jiān)視器等各式各樣聯(lián)網(wǎng)裝置。2019年物聯(lián)網(wǎng)裝置單位出貨量成長(zhǎng)25%,藍(lán)牙耳機(jī),智能手表與智能音箱為主要成長(zhǎng)動(dòng)能。

展望2020年,盡管受到COVID-19 全球大流行的影響,在藍(lán)牙耳機(jī),智慧手表與智能音箱持續(xù)成長(zhǎng),以及其他各式各樣應(yīng)用持續(xù)發(fā)展,物聯(lián)網(wǎng)裝置單位出貨量將呈現(xiàn)中十位數(shù)百分比的成長(zhǎng)。伴隨更多的人工智能功能的加入,物聯(lián)網(wǎng)裝置將帶動(dòng)更多需求于更強(qiáng)大卻更省電的控制芯片、聯(lián)網(wǎng)芯片與感測(cè)芯片。臺(tái)積電提供高效能、低功耗的制程技術(shù)來(lái)強(qiáng)化客戶競(jìng)爭(zhēng)力以贏得市場(chǎng)。


車用電子

2019年, 因?yàn)槿蚪?jīng)濟(jì)環(huán)境轉(zhuǎn)弱的影響,汽車單位銷售量衰退5%; 2020年, 受到COVID-19 全球大流行與整體經(jīng)濟(jì)持續(xù)的不確定性因素影響,預(yù)計(jì)將再次衰退低十位數(shù)百分比。展望未來(lái),預(yù)期電動(dòng)車,先進(jìn)駕駛輔助系統(tǒng)及信息娛樂(lè)系統(tǒng)需要更豐富的半導(dǎo)體內(nèi)容,將帶動(dòng)處理器、傳感器、模擬及電源集成電路等需求。臺(tái)積電提供各種車用制程技術(shù)以幫助客戶在車用市場(chǎng)取得勝利。


消費(fèi)性電子產(chǎn)品

2019年,消費(fèi)性電子產(chǎn)品單位銷售量衰退7%;電視及機(jī)頂盒銷售量受全球經(jīng)濟(jì)環(huán)境的不確定因素影響而降低,而MP3 播放器、數(shù)字相機(jī)市場(chǎng)則持續(xù)受到智能型手機(jī)的侵蝕,銷售量皆呈現(xiàn)下滑。展望2020年,整體消費(fèi)性電子產(chǎn)品出貨量預(yù)計(jì)將維持下滑,但是其中的4K 及8K 超高分辨率電視出貨將達(dá)到正成長(zhǎng)。此外,電視上使用人工智能技術(shù)來(lái)提高畫面質(zhì)量、語(yǔ)音控制等功能已成為未來(lái)趨勢(shì)。預(yù)期臺(tái)積

公司將掌握此波趨勢(shì),以廣泛的先進(jìn)制程技術(shù)以滿足客戶對(duì)市場(chǎng)趨勢(shì)之需求。

產(chǎn)業(yè)供應(yīng)鏈

電子產(chǎn)品的供應(yīng)鏈冗長(zhǎng)而復(fù)雜,且各個(gè)環(huán)節(jié)環(huán)環(huán)相扣。身處產(chǎn)業(yè)鏈的上游,半導(dǎo)體組件供貨商必須提供充足且彈性的產(chǎn)能以因應(yīng)市場(chǎng)的激烈變化,而集成電路制造服務(wù)產(chǎn)業(yè)更是確保產(chǎn)業(yè)鏈健康、穩(wěn)健的重要元素。臺(tái)積電身為積體電路制造服務(wù)領(lǐng)域的領(lǐng)導(dǎo)者,將持續(xù)提供最先進(jìn)的制程技術(shù)及充足的產(chǎn)能,以確保整體產(chǎn)業(yè)的持續(xù)創(chuàng)新。

本文由電子發(fā)燒友綜合整理,參考自臺(tái)積電財(cái)報(bào),轉(zhuǎn)載請(qǐng)注明以上來(lái)源及出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • TSMC
    +關(guān)注

    關(guān)注

    3

    文章

    177

    瀏覽量

    84351
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    臺(tái)電3nm制程需求激增,全年?duì)I收預(yù)期上調(diào)

    臺(tái)電近期迎來(lái)3nm制程技術(shù)的出貨高潮,預(yù)示著其在半導(dǎo)體制造領(lǐng)域的領(lǐng)先地位進(jìn)一步鞏固。隨著蘋果iPhone 16系列新機(jī)發(fā)布,預(yù)計(jì)搭載的A18系列處理器將采用臺(tái)電3nm
    的頭像 發(fā)表于 09-10 16:56 ?458次閱讀

    臺(tái)電3nm工藝產(chǎn)能緊俏,蘋果等四巨頭瓜分

    據(jù)臺(tái)灣媒體報(bào)道,近期全球芯片制造巨頭臺(tái)電面臨了3nm系列工藝產(chǎn)能的激烈競(jìng)爭(zhēng)。據(jù)悉,蘋果、高通、英偉達(dá)和AMD這四大科技巨頭已經(jīng)率先瓜分完了臺(tái)
    的頭像 發(fā)表于 06-12 10:47 ?506次閱讀

    臺(tái)電進(jìn)入由魏哲家全面掌舵時(shí)代

    近日,臺(tái)電舉行了一年一度的股東常會(huì),這也是董事長(zhǎng)劉德音最后一次主持該會(huì)議。此次會(huì)議標(biāo)志著臺(tái)電將進(jìn)入由總裁魏哲家全面掌舵的新時(shí)代。
    的頭像 發(fā)表于 06-05 09:24 ?552次閱讀

    臺(tái)電擴(kuò)增特種工藝制程產(chǎn)能,推出N4e新節(jié)點(diǎn)

     臺(tái)電業(yè)務(wù)發(fā)展及海外運(yùn)營(yíng)副總裁張曉強(qiáng)表示,“以往臺(tái)電會(huì)先審查后決定是否建設(shè)新工廠,而現(xiàn)在,我們首次從一開始便決定建設(shè)專門針對(duì)特殊工藝的晶
    的頭像 發(fā)表于 05-22 09:31 ?274次閱讀

    臺(tái)電N3P工藝新品投產(chǎn),性能提質(zhì)、成本減負(fù)

    N3E工藝的批量生產(chǎn)預(yù)期如期進(jìn)行,其缺陷密度與2020年量產(chǎn)的N5工藝相當(dāng)。臺(tái)電對(duì)N3E的良率評(píng)價(jià)頗高,目前僅有的采用N3E的處理器——蘋
    的頭像 發(fā)表于 05-17 09:17 ?599次閱讀

    臺(tái)電Q1營(yíng)收5926.4億新臺(tái)幣,利潤(rùn)2254.9億新臺(tái)幣,下調(diào)全球預(yù)期

    電話會(huì)上,臺(tái)電強(qiáng)調(diào)了對(duì)全球晶圓代工行業(yè)年度增長(zhǎng)預(yù)期以及汽車行業(yè)增長(zhǎng)前景的調(diào)整。公司首席執(zhí)行官魏哲家表示,終端應(yīng)用的前景與之前預(yù)期大致相同,但汽車行業(yè)的增長(zhǎng)預(yù)測(cè)已由正轉(zhuǎn)負(fù)。
    的頭像 發(fā)表于 04-19 10:35 ?340次閱讀

    臺(tái)電熊本廠引發(fā)環(huán)境擔(dān)憂

    因?yàn)?b class='flag-5'>臺(tái)電工廠入駐帶來(lái)的負(fù)面影響。 據(jù)悉臺(tái)電熊本一廠今年年底前即可量產(chǎn),臺(tái)
    的頭像 發(fā)表于 02-27 14:42 ?614次閱讀

    臺(tái)電熊本廠開幕 計(jì)劃年底量產(chǎn)

    臺(tái)電熊本廠開幕 計(jì)劃年底量產(chǎn) 臺(tái)電熊本第一廠今天正式開幕,計(jì)劃到年底量產(chǎn);預(yù)期總產(chǎn)能將達(dá) 40~50Kwpm 規(guī)模。
    的頭像 發(fā)表于 02-24 19:25 ?1090次閱讀

    臺(tái)電第四季度營(yíng)收表現(xiàn)好于預(yù)期,AI芯片需求推動(dòng)業(yè)績(jī)

     盡管2023年全年?duì)I收出現(xiàn)下滑,但臺(tái)電在去年第四季度展現(xiàn)了強(qiáng)勁的營(yíng)收表現(xiàn),超出了市場(chǎng)預(yù)期。據(jù)報(bào)告顯示,臺(tái)電12月份的銷售額為1763億
    的頭像 發(fā)表于 01-11 15:47 ?735次閱讀

    臺(tái)電3nm工藝預(yù)計(jì)2024年產(chǎn)量達(dá)80%

    據(jù)悉,2024年臺(tái)電的第二代3nm工藝(稱為N3E)有望得到更廣泛運(yùn)用。此前只有蘋果有能力訂購(gòu)第一代N3B高端晶圓。經(jīng)過(guò)解決工藝難題及提升產(chǎn)量后,
    的頭像 發(fā)表于 01-03 14:15 ?640次閱讀

    臺(tái)電:1.4nm 研發(fā)已經(jīng)全面展開

    來(lái)源:EETOP,謝謝 編輯:感知芯視界 萬(wàn)仞 臺(tái)電在近日舉辦的IEEE 國(guó)際電子器件會(huì)議(IEDM)的小組研討會(huì)上透露,其1.4nm 級(jí)工藝制程研發(fā)已經(jīng)全面展開。同時(shí),
    的頭像 發(fā)表于 12-19 09:31 ?528次閱讀

    臺(tái)電首次提及 1.4nm 工藝技術(shù),2nm 工藝按計(jì)劃 2025 年量產(chǎn)

    12 月 14 日消息,臺(tái)電在近日舉辦的 IEEE 國(guó)際電子器件會(huì)議(IEDM)的小組研討會(huì)上透露,其 1.4nm 級(jí)工藝制程研發(fā)已經(jīng)全面展開。同時(shí),
    的頭像 發(fā)表于 12-18 15:13 ?405次閱讀

    臺(tái)電營(yíng)收不及去年!

    雖然臺(tái)電管理層給出的營(yíng)收預(yù)期通常相對(duì)保守,最終大部分是接近或略高于預(yù)期營(yíng)收的上限,但就他們給出的營(yíng)收預(yù)期,四季度超過(guò)去年同期還是有一定的挑
    的頭像 發(fā)表于 10-22 15:37 ?639次閱讀

    西門子布宣布與臺(tái)電攜手優(yōu)化芯片設(shè)計(jì)過(guò)程

    用于集成電路(IC)驗(yàn)證sign-off的Calibre nmPlatform工具現(xiàn)已獲得臺(tái)電的N2工藝認(rèn)證,可為早期采用臺(tái)電N2
    發(fā)表于 10-20 12:37 ?222次閱讀

    突發(fā),傳臺(tái)電下調(diào)資本支出

    臺(tái)電本周四將舉行法說(shuō)會(huì),目前正值法說(shuō)會(huì)前緘默期,臺(tái)電昨(16)日無(wú)評(píng)論。據(jù)了解,即便臺(tái)電可
    的頭像 發(fā)表于 10-17 16:42 ?470次閱讀