0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

晶圓代工市場(chǎng)保持增長(zhǎng),預(yù)計(jì)2018-2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

牽手一起夢(mèng) ? 來(lái)源:金準(zhǔn)數(shù)據(jù) ? 作者:金準(zhǔn)數(shù)據(jù) ? 2020-06-03 15:14 ? 次閱讀

前言

晶圓代工有著高資本壁壘和技術(shù)壁壘,行業(yè)十多年沒有新的競(jìng)爭(zhēng)者出現(xiàn)且越來(lái)越多現(xiàn)有玩家放棄先進(jìn)制程追趕。根據(jù)金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)測(cè),2019年全球晶圓代工市場(chǎng)約627億美元,占全球半導(dǎo)體市場(chǎng)約15%。預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%。2019年中國(guó)大陸晶圓代工市場(chǎng)約2149億元,中國(guó)大陸集成電路產(chǎn)業(yè)結(jié)構(gòu)將繼續(xù)由“小設(shè)計(jì)-小制造-大封測(cè)”向“大設(shè)計(jì)-中制造-中封測(cè)”轉(zhuǎn)型,產(chǎn)業(yè)結(jié)構(gòu)更趨于合理。

一、先進(jìn)制程比重不斷提升

1.1晶圓代工市場(chǎng)保持增長(zhǎng)

根據(jù)gartner預(yù)測(cè),2019年全球晶圓代工市場(chǎng)約627億美元,占全球半導(dǎo)體市場(chǎng)約15%。預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%。

晶圓代工市場(chǎng)保持增長(zhǎng),預(yù)計(jì)2018-2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

晶圓代工市場(chǎng)占半導(dǎo)體市場(chǎng)約15%

開創(chuàng)專業(yè)分工模式,晶圓代工廠在半導(dǎo)體產(chǎn)業(yè)鏈中越來(lái)越重要。臺(tái)積電開創(chuàng)了晶圓代工+IC設(shè)計(jì)的模式。隨著半導(dǎo)體制造規(guī)模效應(yīng)的凸顯,以及技術(shù)和資金壁壘的提升,IDM模式下的廠商擴(kuò)張難度加大,沉沒成本提高。目前垂直分工模式成為了行業(yè)的發(fā)展趨勢(shì),半導(dǎo)體新進(jìn)入者大多采用Fabless模式,同時(shí)有更多的IDM公司AMD、NXP、TI等都將走向Fabless或Fablite模式。

晶圓代工市場(chǎng)保持增長(zhǎng),預(yù)計(jì)2018-2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

晶圓代工創(chuàng)造半導(dǎo)體行業(yè)分工模式

在晶圓代工的支持下,IC設(shè)計(jì)廠迅速崛起。根據(jù)ICInsight數(shù)據(jù),2009~2019年IC設(shè)計(jì)行業(yè)的收入復(fù)合增速為8%,IDM行業(yè)的收入復(fù)合增速為5%。IC設(shè)計(jì)的繁榮興起與先進(jìn)制程的資本、技術(shù)密度提升,使得以臺(tái)積電為代表的晶圓代工廠(Foundry)在半導(dǎo)體產(chǎn)業(yè)鏈中扮演越來(lái)越重要的角色。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

IC設(shè)計(jì)廠與IDM的半導(dǎo)體業(yè)務(wù)收入(十億美元)

2020年晶圓代工市場(chǎng)重返增長(zhǎng),0.016micron、0.032micron為當(dāng)前收入占比最高的節(jié)點(diǎn)。根據(jù)Gartner,2019年全球晶圓代工收入627億美元,增速為-0.2%。預(yù)計(jì)2020年增速回到8%。結(jié)構(gòu)上,收入貢獻(xiàn)最大的為0.016micron(12/14/16nm),達(dá)到97億美元;其次為0.032micron(22/28/32nm),達(dá)到86億美元。10nm預(yù)計(jì)26億美元,7nm預(yù)計(jì)85億美元。臺(tái)積電2019年收入為346億美元,占比達(dá)55%。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球晶圓代工行業(yè)收入(億美元)

根據(jù)Gartner,從產(chǎn)能分布角度而言,2019年全球晶圓代工等效8寸片年產(chǎn)能為7838萬(wàn)片,其中0.18micro達(dá)到1363萬(wàn)片,其次65nm達(dá)到982萬(wàn)片,45nm達(dá)到882萬(wàn)片,32nm達(dá)到80萬(wàn)片。根據(jù)臺(tái)積電財(cái)報(bào),臺(tái)積電2019年等效8寸片產(chǎn)能超過2700萬(wàn)片,占比約34%。根據(jù)拓璞產(chǎn)業(yè)研究,2019年,28nm以下制程的營(yíng)收在前五大廠商(臺(tái)積電、三星、格芯、聯(lián)電、中芯國(guó)際)在的合計(jì)營(yíng)收中占比約44%。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球晶圓代工行業(yè)產(chǎn)能(等價(jià)8寸片;千片)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

2019年全球晶圓代工行業(yè)收入分布

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

2019年全球晶圓代工行業(yè)產(chǎn)能分布

先進(jìn)制程比重快速提升。根據(jù)ASML在2018年底的預(yù)測(cè),先進(jìn)制程的占比會(huì)迅速提高,其中部分現(xiàn)有制程的產(chǎn)線通過設(shè)備升級(jí)成先進(jìn)制程產(chǎn)線。ASML預(yù)測(cè)2025年12寸晶圓的先進(jìn)制程占比會(huì)達(dá)到2/3。

全球晶圓代工市場(chǎng)以晶圓廠所在地劃分,全球晶圓代工前三大區(qū)域分別為中國(guó)臺(tái)灣、中國(guó)大陸、韓國(guó)。臺(tái)灣占比達(dá)到66%左右,并在先進(jìn)制程導(dǎo)入和新型產(chǎn)業(yè)趨勢(shì)下引領(lǐng)行業(yè)發(fā)展。大陸處于追趕角色,比重正在持續(xù)提升,從2017年的9.0%提升至2023年的12.9%。韓國(guó)三星持續(xù)加大投資,因此韓國(guó)的份額也保持略有增長(zhǎng)。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球晶圓代工區(qū)域占比(2019~2023年為預(yù)測(cè)數(shù)據(jù))

2019年中國(guó)大陸晶圓代工市場(chǎng)約2149億元,大陸集成電路向“大設(shè)計(jì)-中制造-中封測(cè)”轉(zhuǎn)型,大陸的設(shè)計(jì)、制造將起航。2018年中國(guó)大陸集成電路產(chǎn)業(yè)繼續(xù)保持快速增長(zhǎng),規(guī)模達(dá)到6531.4億元,同比增長(zhǎng)20.7%,預(yù)計(jì)到2020年突破9000億。中國(guó)大陸集成電路產(chǎn)業(yè)結(jié)構(gòu)將繼續(xù)由“小設(shè)計(jì)-小制造-大封測(cè)”向“大設(shè)計(jì)-中制造-中封測(cè)”轉(zhuǎn)型,產(chǎn)業(yè)鏈逐漸從低端向高端延伸,產(chǎn)業(yè)結(jié)構(gòu)更趨于合理。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

中國(guó)大陸集成電路市場(chǎng)規(guī)模(億元)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

中國(guó)大陸集成電路市場(chǎng)結(jié)構(gòu)(億元)

1.2 12寸硅晶圓保持快速增長(zhǎng)

長(zhǎng)期維度下電子化趨勢(shì)推進(jìn),硅含量不斷提升。半導(dǎo)體硅含量代表電子系統(tǒng)中半導(dǎo)體集成電路芯片總價(jià)值占電子系統(tǒng)價(jià)值的百分比,可用來(lái)衡量半導(dǎo)體的滲透率。如果從下游需求分析,硅含量就是下游需求中半導(dǎo)體芯片的滲透率。從長(zhǎng)期的維度上來(lái)看,電子化是不斷推進(jìn)的趨勢(shì),而各類電子產(chǎn)品中的半導(dǎo)體含量過去20年來(lái)都在不斷上升,簡(jiǎn)稱“硅含量”提升。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

半導(dǎo)體市場(chǎng)規(guī)模

硅片/硅晶圓是制造芯片的核心基礎(chǔ)材料,高純度要求下工序流程復(fù)雜、設(shè)備參數(shù)要求高。Rawwafer在整體成本中的占比并不高(不到10%,芯片制程越先進(jìn)占比越小),但是,硅晶圓作為芯片制造的基礎(chǔ)核心材料能夠從量上直接觀測(cè)行業(yè)芯片的產(chǎn)出、先進(jìn)制程升級(jí)的節(jié)奏。

硅片/晶圓供給的主要增長(zhǎng)來(lái)自于12寸(300mm),8寸片以存量產(chǎn)能為主。根據(jù)硅片龍頭Sumco在2019Q3的指引,2018~2022年12寸硅片需求數(shù)量復(fù)合增長(zhǎng)率預(yù)期為4.1%;12寸硅片供給數(shù)量復(fù)合增長(zhǎng)率預(yù)期為3.9%,供給增速低于需求增速。從需求側(cè)分拆,硅片幾大需求包括Nand、Dram、Logic和其他。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球硅片需求預(yù)測(cè)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球12寸硅片供需預(yù)測(cè)(千片/月)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球12寸硅片需求側(cè)拆分(千片/月)

二、先進(jìn)制程成為晶圓制造的分水嶺

2.1摩爾定律沒有失效,但資本壁壘迅速提升

摩爾定律:當(dāng)價(jià)格不變時(shí),集成電路上可容納的元器件的數(shù)目,約每隔18~24個(gè)月便會(huì)增加一倍,性能也將提升一倍。英偉達(dá)黃仁勛認(rèn)為嚴(yán)格意義上的摩爾定律已經(jīng)失效,IMEC(比利時(shí)微電子研究中心)、ASML等機(jī)構(gòu)為半導(dǎo)體產(chǎn)業(yè)規(guī)劃的藍(lán)圖里摩爾定律持續(xù)演進(jìn)。摩爾定律沒有失效,但是制程之外的設(shè)計(jì)與工藝扮演越來(lái)越重要的角色,同時(shí)資本密集度的迅速提升使得行業(yè)壁壘發(fā)生變化。

摩爾定律推進(jìn),但制程提升貢獻(xiàn)比例在下降。根據(jù)AMD數(shù)據(jù),過去十年制程升級(jí)帶來(lái)更高性能、更低功耗,制程升級(jí)為半導(dǎo)體性能提升貢獻(xiàn)40%。根據(jù)ASML預(yù)測(cè),2018~2028年的未來(lái)十年半導(dǎo)體性能提升進(jìn)一步加速,制程提升的貢獻(xiàn)為30%左右,剩下增長(zhǎng)來(lái)自于諸如3DStacking、多核架構(gòu)、內(nèi)存整合、軟件系統(tǒng)、電源管理等多方面的升級(jí)。因此,在未來(lái)的芯片性能提升中,架構(gòu)、系統(tǒng)、軟件將扮演越來(lái)越重要的角色。

晶圓制造行業(yè)發(fā)展趨勢(shì)面臨DieSize限制和成本限制。過去十年,CPUGPU的DieSize呈現(xiàn)上升趨勢(shì),但受制于12寸晶圓產(chǎn)線,DieSize的增長(zhǎng)是不可持續(xù)的。同時(shí),相同DieSize的晶圓產(chǎn)線,單位面積成本也不斷攀升,5nm的單位面積成本是45nm的5倍。

CPU/GPU芯片DieSize呈現(xiàn)上升趨勢(shì)

先進(jìn)制程的持續(xù)升級(jí)帶來(lái)巨額的成本。根據(jù)IBS,3nm芯片的設(shè)計(jì)費(fèi)用約5~15億美元,工藝開發(fā)費(fèi)用約40~50億美元,興建一條3nm產(chǎn)線的成本約150~200億美元。3nm芯片僅比5nm芯片提升15%性能、降低25%功耗。根據(jù)IMEC論文,7nm以后,每一代升級(jí)單個(gè)晶圓的工藝成本(ProcessCost)提升幅度達(dá)到30%。同樣面積的硅晶圓,即使通過微縮增加了晶體管的數(shù)量,生產(chǎn)成本也會(huì)相應(yīng)增加。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

2019年單片晶圓價(jià)格預(yù)估(等價(jià)8寸片計(jì)價(jià),美元)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

設(shè)計(jì)成本:先進(jìn)IC設(shè)計(jì)成本快速增加

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

投資金額:100K產(chǎn)能對(duì)應(yīng)投資額要求(億美元)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

工藝成本:7nm之后單位芯片工藝成本每代增加30%

資金、技術(shù)壁壘提升,先進(jìn)制程的供給端向寡頭壟斷發(fā)展,先進(jìn)制程供不應(yīng)求。

創(chuàng)新推動(dòng)先進(jìn)制程需求。根據(jù)臺(tái)積電的產(chǎn)品組合,最先進(jìn)制程主要是為logic和部分RF提供,主流的成熟制程能覆蓋大部分其他應(yīng)用領(lǐng)域。隨著創(chuàng)新不斷升級(jí),5G、AI物聯(lián)網(wǎng)等需求提升,創(chuàng)新導(dǎo)入時(shí)使用的制程工藝從成熟向先進(jìn)工藝升級(jí)。

供給受限于有限的產(chǎn)能。目前先進(jìn)制程的供給端只有臺(tái)積電、三星、英特爾。英特爾為IDM,自家消費(fèi)級(jí)10nm產(chǎn)品產(chǎn)能不足、市場(chǎng)缺貨。受益于5G、智能手機(jī)、HPC、AIoT等需求,7nm及以下先進(jìn)制程需求旺盛。臺(tái)積電為先進(jìn)制程的核心晶圓代工廠,目前10nm工藝客戶已經(jīng)超過10家,7nmEUV客戶至少5家(蘋果、海思、高通、三星、AMD),6nm客戶除了7nmEUV的5家還多了博通、聯(lián)發(fā)科。臺(tái)積電7nm產(chǎn)品持續(xù)滿產(chǎn),多個(gè)客戶爭(zhēng)搶產(chǎn)能,由臺(tái)積電進(jìn)行產(chǎn)能配置。

2.2晶圓制造行業(yè)技術(shù)復(fù)雜度不斷提升

摩爾定律引領(lǐng)半導(dǎo)體產(chǎn)業(yè),實(shí)現(xiàn)產(chǎn)業(yè)持續(xù)升級(jí)需要貫穿整條產(chǎn)業(yè)鏈,包括上游(設(shè)備如光刻機(jī)廠商ASML)、晶圓制造(臺(tái)積電、英特爾、三星)以及下游(IC設(shè)計(jì)如蘋果、AMD、海思、高通、聯(lián)發(fā)科等)等環(huán)節(jié)的廠商協(xié)同。

光刻機(jī)從DUV到浸入式DUV,再升級(jí)成EUV,成為推進(jìn)摩爾定律的重要環(huán)節(jié)。根據(jù)ASML預(yù)測(cè),晶圓代工領(lǐng)域節(jié)點(diǎn)會(huì)持續(xù)升級(jí);內(nèi)存DRAM領(lǐng)域也將使用EUV;閃存Nand等向3D堆疊發(fā)展,不需要用EUV升級(jí)。其他設(shè)備龍頭廠商如AMAT、LamResearch、KLATencor等也紛紛布局先進(jìn)制程節(jié)點(diǎn)相關(guān)設(shè)備。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

ASML預(yù)測(cè)半導(dǎo)體制程升級(jí)規(guī)劃

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

先進(jìn)制程設(shè)備端布局

晶體管結(jié)構(gòu)創(chuàng)新,形態(tài)更加復(fù)雜。2011年,英特爾在22nm時(shí)引入FinFET,減少橫向尺寸,增加單位面積設(shè)備密度,同時(shí)增加鰭的高度。三星計(jì)劃于2021~2022引入GAA,應(yīng)用于其3nm制程。臺(tái)積電除了GAA晶體管結(jié)構(gòu)之外,也進(jìn)行其他方向布局。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

晶體管結(jié)構(gòu)變化

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

下一代晶體管結(jié)構(gòu)

先進(jìn)封裝技術(shù)是高性能芯片的重要基礎(chǔ)之一。硅通孔(TSV)的三維封裝技術(shù)在超越摩爾定律中扮演重要角色。先進(jìn)封裝技術(shù)提升了互聯(lián)密度和信號(hào)傳輸速率。在已經(jīng)量產(chǎn)的2.5DIC領(lǐng)域,臺(tái)積電主推CoWoS工藝,英特爾主推EMIB工藝,三星主推FOPLP。未來(lái)通過難度更高的TSV技術(shù),臺(tái)積電將進(jìn)一步量產(chǎn)SoIC、WoW等3DIC,英特爾推出Foveros技術(shù),三星推出3DSiC。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

臺(tái)積電先進(jìn)封裝技術(shù)一覽

2.3行業(yè)高壁壘、高集中、少進(jìn)入者

先進(jìn)制程呈現(xiàn)資金、技術(shù)壁壘不斷提高的趨勢(shì),行業(yè)格局逐漸出清。從制造環(huán)節(jié)而言,行業(yè)資金、技術(shù)壁壘極高,不僅十多年來(lái)沒出現(xiàn)新的競(jìng)爭(zhēng)玩家,而且隨著制程分水嶺的出現(xiàn),越來(lái)越多的參與者從先進(jìn)制程中“出局”。格羅方德在2018年宣布放棄7nm研發(fā),聯(lián)電在2018年宣布放棄12nm以下(即7nm及以下)的先進(jìn)制程投資,因此保持先進(jìn)制程研發(fā)的玩家僅剩行業(yè)龍頭臺(tái)積電、三星、英特爾等,以及處于技術(shù)追趕的中芯國(guó)際。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

晶圓廠制程升級(jí)規(guī)劃

高資金壁壘和技術(shù)壁壘,行業(yè)十多年沒有新的競(jìng)爭(zhēng)者出現(xiàn)且越來(lái)越多現(xiàn)有玩家放棄先進(jìn)制程追趕。龐大的資金投入使得中小行業(yè)玩家望而卻步,復(fù)雜越來(lái)越高的工藝和技術(shù)成為行業(yè)固有護(hù)城河,并且隨著“摩爾定律”推進(jìn),每一個(gè)制程節(jié)點(diǎn)都舉步維艱,擁有高端制程能力的公司屈指可數(shù)。

行業(yè)呈現(xiàn)寡頭壟斷,臺(tái)積電強(qiáng)者愈強(qiáng)。根據(jù)拓璞產(chǎn)業(yè)研究,2019年全球十大晶圓代工廠分別為:臺(tái)積電、三星、格芯、聯(lián)電、中芯國(guó)際、TowerJazz、H-Grace、VIS、PSC、DongbuHiTek。臺(tái)積電市占率超過50%,在整個(gè)晶圓代工行業(yè),臺(tái)積電不管是技術(shù)領(lǐng)先性還是優(yōu)質(zhì)客戶和訂單的選擇,都是保持比較大的優(yōu)勢(shì)。

目前能夠提供7nm及7nm以下先進(jìn)制程工藝(對(duì)應(yīng)英特爾10nm)的廠商僅有臺(tái)積電、英特爾和三星。根據(jù)拓璞產(chǎn)業(yè)研究,2019年臺(tái)積電先進(jìn)制程市場(chǎng)份額為52%,英特爾約25%,三星約23%。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

先進(jìn)制程產(chǎn)能分布

晶體管密度不斷提升,但不同廠商命名規(guī)格有區(qū)別。英特爾的10nm工藝晶體管密度介于臺(tái)積電7nm和7nmEUV之間。2019年,臺(tái)積電7nm制程投資大概100~110K產(chǎn)能,客戶較多。三星7nmLPP(EUV)工藝產(chǎn)能大概10K,三星的晶圓代工業(yè)務(wù)客戶主要是三星、高通、IBM。根據(jù)拓璞產(chǎn)業(yè)研究,2020年臺(tái)積電5nm產(chǎn)能預(yù)計(jì)60~70K,同時(shí)三星預(yù)計(jì)也會(huì)推出其5nm工藝。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

不同制程節(jié)點(diǎn)晶體管密度(標(biāo)準(zhǔn)化工藝節(jié)點(diǎn)以intel10nm為參考節(jié)點(diǎn))

臺(tái)積電積極推動(dòng)先進(jìn)制程,引領(lǐng)全行業(yè)。根據(jù)制程性能提升幅度上看,28nm、16nm、7nm等具有顯著提升幅度的節(jié)點(diǎn),一般具有相對(duì)較長(zhǎng)的壽命;而提升幅度較少的節(jié)點(diǎn)一般為過渡節(jié)點(diǎn)。臺(tái)積電6nm預(yù)計(jì)在2020Q1進(jìn)行風(fēng)險(xiǎn)試產(chǎn),預(yù)計(jì)2020年年底量產(chǎn);5nm進(jìn)入爬坡提升良率階段,預(yù)計(jì)2020年3月開始量產(chǎn)。臺(tái)積電的5nm邏輯密度將是之前7nm的1.8倍,SRAM密度是7nm的1.35倍,可以帶來(lái)15%的性能提升,以及30%的功耗降低。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

臺(tái)積電歷代制程PPA(power、performance、Arereduction)環(huán)比提升幅度

英特爾在10nm制程上稍微落后,隨后7nm預(yù)計(jì)在2021年量產(chǎn),并持續(xù)進(jìn)行優(yōu)化。英特爾制程升級(jí)歷史一般是兩年一次,但從2014年的14nm到2019年的10nm,出現(xiàn)明顯的升級(jí)放緩。目前,英特爾的10nm工藝已經(jīng)量產(chǎn),但存在缺貨問題。英特爾預(yù)計(jì)2020年推出10nm+,2021年推出7nm及10nm++,2022年推出7nm+,2023年推出7nm++。英特爾的晶圓廠主要用于生產(chǎn)自家CPU。

英特爾2020年capex提升至170億美元,其中一半用于7/5nm和擴(kuò)大Fab工廠。英特爾的10nm還是采用浸入式DUV設(shè)備,7nm才開始導(dǎo)入EUV設(shè)備。英特爾的芯片從2018H2開始就供不應(yīng)求,因此2019年capex為162億美元,產(chǎn)能增長(zhǎng)25%。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

英特爾未來(lái)制程升級(jí)規(guī)劃

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

英特爾服務(wù)CPU產(chǎn)品路線

三星積極投入晶圓代工領(lǐng)域,加快制程升級(jí)。三星在2017年將晶圓代工業(yè)務(wù)部門從系統(tǒng)LSI中獨(dú)立出來(lái),主要為全球客戶制造非存儲(chǔ)芯片。截止2019年底,三星晶圓代工專屬線包括6條12寸線和3條8寸線。提供包括65納米、45納米、32/28納米HKMG、14納米FinFET、10納米FinFET、7納米FinFETEUV工藝,客戶包括蘋果、高通、超微半導(dǎo)體、賽靈思、英偉達(dá)、恩智浦(NXP)以及韓國(guó)本土公司Telechips等。三星計(jì)劃在2020年底試產(chǎn)3nm工藝,并專用GAAMCFET工藝技術(shù)。三星計(jì)劃在未來(lái)十年(至2030年)共投資約1150億美元,用于爭(zhēng)取晶圓代工行業(yè)主導(dǎo)權(quán)。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

三星電子晶圓代工制程發(fā)展路徑

2.4半導(dǎo)體需求三駕馬車共振,國(guó)產(chǎn)替代迎來(lái)機(jī)遇

創(chuàng)新趨勢(shì)不變:創(chuàng)新是決定電子行業(yè)的估值與持續(xù)成長(zhǎng)的核心邏輯,本輪創(chuàng)新由5G驅(qū)動(dòng)的數(shù)據(jù)中心、手機(jī)、通訊等歷史上第一次共振。

中期供需仍緊張:全球半導(dǎo)體投資關(guān)注中期供需的核心變量——需求與資本開支,疫情對(duì)短期需求會(huì)有一定擾動(dòng),但中期三大需求不受本質(zhì)影響,而全球資本開支截止2019Q3末還沒有全面啟動(dòng),并有部分企業(yè)由于疫情再次遞延資本開支,中期供需缺口有望繼續(xù)放大。供給方面,全球資本開支除龍頭臺(tái)積電外尚未全面啟動(dòng),我們預(yù)計(jì)本次疫情中部分企業(yè)將再度進(jìn)行資本開支遞延,中期供需缺口有望進(jìn)一步放大,中期景氣度有望繼續(xù)保持向上趨勢(shì)!

國(guó)產(chǎn)替代歷史性機(jī)遇開啟,2019年正式從主題概念到業(yè)績(jī)兌現(xiàn),2020年有望繼續(xù)加速。逆勢(shì)方顯優(yōu)質(zhì)公司本色,這是19年行業(yè)下行周期中A股半導(dǎo)體公司迭超預(yù)期,優(yōu)質(zhì)標(biāo)的國(guó)產(chǎn)替代、結(jié)構(gòu)改善逐步兌現(xiàn)至報(bào)表是核心原因。進(jìn)入2020年,我們預(yù)計(jì)在國(guó)產(chǎn)化加速疊加行業(yè)周期景氣上行之下,A股半導(dǎo)體龍頭公司們有望延續(xù)高增長(zhǎng)表現(xiàn)。

數(shù)據(jù)中心:數(shù)據(jù)中心回暖,受益于5G持續(xù)發(fā)展。在目前服務(wù)器均價(jià)已經(jīng)企穩(wěn)的同時(shí),我們認(rèn)為在未來(lái)隨著5G對(duì)其的拉動(dòng),內(nèi)部升級(jí)將不間斷,5G網(wǎng)絡(luò)帶來(lái)的傳輸速度將會(huì)較4G有質(zhì)的提升,服務(wù)器將會(huì)在應(yīng)對(duì)存儲(chǔ)方面呈幾何倍數(shù)增長(zhǎng)的需求的同時(shí),還需要保持高帶寬、低時(shí)延、高穩(wěn)定性的要求,對(duì)于服務(wù)器而言無(wú)疑是在性能方面提出了更高的要求。服務(wù)器或有望在未來(lái)實(shí)現(xiàn)更進(jìn)一步的價(jià)值量的提高,達(dá)到價(jià)量齊升的平臺(tái)。

全球服務(wù)器行業(yè)已經(jīng)歷經(jīng)多年,其出貨量從2013年至2018年也經(jīng)歷了起起伏伏。2018年,全球服務(wù)器市場(chǎng)出貨量再次實(shí)現(xiàn)了超越10%的增長(zhǎng),主要源自于云計(jì)算、大數(shù)據(jù)、AI等新一代技術(shù)對(duì)互聯(lián)網(wǎng)企業(yè)持續(xù)拓展基礎(chǔ)架構(gòu)規(guī)模的推動(dòng),同時(shí)也刺激了傳統(tǒng)企業(yè)用戶的采購(gòu)需求。2019年,企業(yè)買家和超大規(guī)模公司通過ODM購(gòu)買的需求比前幾個(gè)季度減少,這影響了第一季度的市場(chǎng)增長(zhǎng)速度,但用戶對(duì)高配置服務(wù)器的需求將進(jìn)一步支持平均售價(jià)的增長(zhǎng)。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球服務(wù)器年出貨量統(tǒng)計(jì)

隨著IoT、AI(尤其智能安防)和智能駕駛時(shí)代到來(lái),邊緣計(jì)算的快速成長(zhǎng)帶來(lái)的性能需求將成為中長(zhǎng)期半導(dǎo)體的成長(zhǎng)驅(qū)動(dòng)!數(shù)據(jù)中心對(duì)服務(wù)器的需求成為整體服務(wù)器市場(chǎng)出貨成長(zhǎng)的關(guān)鍵。我們預(yù)計(jì)近兩年來(lái)數(shù)據(jù)中心服務(wù)器的需求將在2020年前完成規(guī)劃,將繼續(xù)維持每年二至三成的年增率,推動(dòng)服務(wù)器出貨量及市場(chǎng)的增長(zhǎng)。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

IDC服務(wù)器裝機(jī)量增長(zhǎng)趨勢(shì)(千臺(tái))

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

云計(jì)算資本開支金額(百萬(wàn)USD)

根據(jù)IDC以及Gartner對(duì)于過往季度的服務(wù)器出貨量以及對(duì)未來(lái)的服務(wù)器出貨量的預(yù)測(cè)進(jìn)行調(diào)整后,我們預(yù)計(jì)在2019年后服務(wù)器行業(yè)將受到5G時(shí)代的沖擊,實(shí)現(xiàn)長(zhǎng)期且穩(wěn)定的出貨量的增長(zhǎng),同時(shí)由于服務(wù)器產(chǎn)品的不斷升級(jí),我們也預(yù)計(jì)其單價(jià)將在未來(lái)逐步增長(zhǎng)。金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)計(jì)全球服務(wù)器的出貨量將會(huì)在2020年達(dá)到1220萬(wàn)臺(tái),而隨著5G的逐步鋪設(shè),在2021年將會(huì)繼續(xù)保持約10%的增長(zhǎng),且之后預(yù)計(jì)將以每年7%~8%的增速穩(wěn)定且持久的增長(zhǎng)。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球服務(wù)器自2019年后的出貨量預(yù)測(cè)(萬(wàn)臺(tái))

對(duì)于中國(guó)內(nèi)服務(wù)器需求及出貨量而言,金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)認(rèn)為中國(guó)作為5G建設(shè)最快國(guó),服務(wù)器方面的建設(shè)也將遙遙領(lǐng)先,同時(shí)由于中國(guó)在該方面進(jìn)度略慢于海外,故在2021年之前服務(wù)器出貨量將維持高于全球增速的平臺(tái)之上,之后逐步恢復(fù),與全球的服務(wù)器增速趨同。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

中國(guó)X86服務(wù)器出貨量及預(yù)測(cè)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

中國(guó)X86服務(wù)器市場(chǎng)規(guī)模

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

數(shù)據(jù)中心的新SSD儲(chǔ)存需求(ZB/年)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

數(shù)據(jù)中心對(duì)300mm硅片的需求(千片每月)

根據(jù)Gartner以及IDC的數(shù)據(jù)對(duì)服務(wù)器進(jìn)行了簡(jiǎn)單的分類:高性能運(yùn)算服務(wù)器以及傳統(tǒng)服務(wù)器。根據(jù)預(yù)測(cè),在接下來(lái)數(shù)年內(nèi)服務(wù)器市場(chǎng)的增長(zhǎng)將主要以可支撐AI計(jì)算方面的高性能服務(wù)器為主,同時(shí)也將帶動(dòng)CPU/GPU、以及Dram的高增長(zhǎng)。

手機(jī):5G放量“前夜”,單機(jī)硅含量提升。中國(guó)手機(jī)市場(chǎng)正值5G放量的“前夜”。IDC公布全球2019年Q3手機(jī)出貨量為3.58億部,同比增長(zhǎng)1%,智能手機(jī)的市場(chǎng)正在逐漸回暖。按照市場(chǎng)份額來(lái)看,排名第一的為三星,三季度出貨7820萬(wàn),同比增長(zhǎng)8.3%。華為排名第二,三季度出貨6660萬(wàn),同比增長(zhǎng)28.2%。蘋果三季度出貨4660萬(wàn),同比下滑0.6%。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球智能手機(jī)出貨量(百萬(wàn)臺(tái))

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球智能手機(jī)按品牌出貨量(百萬(wàn)臺(tái))

5G芯片備貨量超預(yù)期,逐漸向中低端滲透。根據(jù)IDC預(yù)測(cè),2019年5G手機(jī)出貨量為670萬(wàn)部,份額僅為0.5%。到2023年,5G手機(jī)出貨量將達(dá)到整體手機(jī)出貨量的26%。各家5G芯片供應(yīng)商紛紛加足馬力備貨,金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)計(jì)2020年全球5G手機(jī)出貨量為2-3億部。

臺(tái)積電7納米制程產(chǎn)能在2019年第3季開始全線爆滿的盛況,2020年上半年都可能出現(xiàn)產(chǎn)能供不應(yīng)求的局面。聯(lián)發(fā)科、高通、三星電子及海思等5G芯片供應(yīng)商,都不斷要求上、下游協(xié)力廠大舉擴(kuò)充產(chǎn)能,并有效拉高公司內(nèi)外的庫(kù)存水平。我們看到5G芯片的備貨開始向中低端加速滲透。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

5G芯片備貨量(百萬(wàn)顆)

移動(dòng)數(shù)據(jù)傳輸量和傳輸速度的不斷提高主要依賴于移動(dòng)通訊技術(shù)的變革,及其配套的射頻前端芯片的性能的不斷提高。在過去的十年間,通信行業(yè)經(jīng)歷了從2G到3G再到4G(FDD-LTE/TD-LTE)兩次重大產(chǎn)業(yè)升級(jí)。在4G普及的過程中,全網(wǎng)通等功能在高端智能手機(jī)中得到廣泛應(yīng)用,體現(xiàn)了智能手機(jī)兼容不同通信制式的能力。

根據(jù)QYR Electronics Research Center的統(tǒng)計(jì),從2011年至2018年全球射頻前端市場(chǎng)規(guī)模以年復(fù)合增長(zhǎng)率13.10%的速度增長(zhǎng),2018年達(dá)149.10億美元。受到5G網(wǎng)絡(luò)商業(yè)化建設(shè)的影響,自2020年起,全球射頻前端市場(chǎng)將迎來(lái)快速增長(zhǎng)。2018年至2023年全球射頻前端市場(chǎng)規(guī)模預(yù)計(jì)將以年復(fù)合增長(zhǎng)率16.00%持續(xù)高速增長(zhǎng),預(yù)計(jì)2023年接近313.10億美元。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球射頻前端市場(chǎng)規(guī)模預(yù)測(cè)(億美元)

隨著消費(fèi)者對(duì)高質(zhì)量拍照、錄像的需求日益增加,攝像頭模組的進(jìn)化是智能手機(jī)發(fā)展的必經(jīng)之路。伴隨著雙攝、三攝滲透率的提高,市場(chǎng)將會(huì)開啟新的成像變革。根據(jù)Statista的預(yù)測(cè),2018年三攝滲透率僅為1.6%,而到了2020年三攝的滲透率將達(dá)到24.5%。在采用三攝的機(jī)型上,安卓陣營(yíng)在今明兩年或比蘋果更加積極。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

全球手機(jī)攝像頭模組消費(fèi)量(億顆)

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

國(guó)內(nèi)手機(jī)攝像頭模組產(chǎn)量(億顆)

旗艦機(jī)種的像素不斷升級(jí),由2000萬(wàn)逐漸升至4000萬(wàn)。前置攝像頭也逐漸由800萬(wàn)升級(jí)至2400萬(wàn),拍照效果提升。此外,國(guó)內(nèi)高端機(jī)種的鏡頭也逐漸從5P升級(jí)到6P,以便實(shí)現(xiàn)超級(jí)大廣角,大光圈,光學(xué)變焦也不斷升級(jí)至三倍,使得夜拍效果逐漸加強(qiáng)。IDC預(yù)計(jì)2018年后置鏡頭的6P滲透率約為40%。

智能手機(jī)創(chuàng)新進(jìn)一步提升單機(jī)硅含量。金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)計(jì)5G智能手機(jī)升級(jí)將拉動(dòng)需求,DRAM、camera硅含量翻倍,Nand硅含量增長(zhǎng)至8倍。假設(shè)高端手機(jī)為4億部不變,5G升級(jí)促進(jìn)高端手機(jī)所消耗的硅片將從430K/M提升至533K/M。

通訊:5G基站建設(shè)進(jìn)入放量期。5G由于需要提供更快的傳輸速度,所使用的頻率將向高頻率頻道轉(zhuǎn)移,從而無(wú)法避免的會(huì)將其信號(hào)的衍射能力(即繞過障礙物的能力)降低,而想要將其解決的辦法既是:增建更多基站以增加覆蓋。

基建建設(shè)帶來(lái)的放量降價(jià)是每一輪通信周期的必由之路,行業(yè)必然經(jīng)歷一波洗禮,回顧2G-4G的歷史,具有技術(shù)及資本護(hù)城河,掌握渠道優(yōu)勢(shì)的公司走的更長(zhǎng),需要深入評(píng)估每個(gè)賽道的競(jìng)爭(zhēng)格局和公司治理能力。

金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)預(yù)測(cè),5G宏基站的數(shù)量在2026年預(yù)計(jì)將達(dá)到475萬(wàn)個(gè),是2017年底4G基站328萬(wàn)個(gè)的1.45倍左右,配套的小基站數(shù)量約為宏基站的2倍,約為950萬(wàn)個(gè),總共基站數(shù)量約為1425萬(wàn)個(gè)。PCB是基站建設(shè)中不可缺少的電子材料,如此龐大的基站量,將會(huì)產(chǎn)生巨大的PCB增量空間。

預(yù)計(jì)2018~2023年晶圓代工市場(chǎng)復(fù)合增速為4.9%

宏基站年建設(shè)數(shù)量預(yù)測(cè)

結(jié)語(yǔ)

金準(zhǔn)產(chǎn)業(yè)研究團(tuán)隊(duì)認(rèn)為,華為事件加速國(guó)產(chǎn)鏈重塑,幾乎所有科技龍頭,甚至部分海外龍頭也在加快國(guó)產(chǎn)鏈公司導(dǎo)入。過去我們見證了通信、家電、工程機(jī)械、光伏、高鐵、消費(fèi)電子等核心戰(zhàn)略領(lǐng)域從無(wú)到有,從弱到強(qiáng)的過程,半導(dǎo)體行業(yè)已經(jīng)具備市場(chǎng)、系統(tǒng)、下游、技術(shù)突破等成長(zhǎng)關(guān)鍵要素。可以很樂觀的預(yù)計(jì),2020年國(guó)內(nèi)晶圓代工-封測(cè)產(chǎn)業(yè)鏈將會(huì)繼續(xù)加速發(fā)展。

責(zé)任編輯:gt

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5366

    文章

    11162

    瀏覽量

    358362
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26315

    瀏覽量

    209967
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4743

    瀏覽量

    127276
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    人工智能需求持續(xù)爆發(fā),全球代工行業(yè)勢(shì)頭強(qiáng)勁

    根據(jù)知名市場(chǎng)研究機(jī)構(gòu)Counterpoint Research最新發(fā)布的《代工季度追蹤》報(bào)告,2024第二季度,全球
    的頭像 發(fā)表于 08-21 14:51 ?525次閱讀

    三星代工發(fā)力,挑戰(zhàn)臺(tái)積電地位

    三星電子在最新的投資人財(cái)報(bào)會(huì)議中透露,其代工業(yè)務(wù)在上季度實(shí)現(xiàn)了顯著的利潤(rùn)增長(zhǎng),預(yù)示著該領(lǐng)域的強(qiáng)勁復(fù)蘇。公司對(duì)未來(lái)充滿信心,預(yù)計(jì)下半年
    的頭像 發(fā)表于 08-02 16:37 ?648次閱讀

    中國(guó)大陸代工市場(chǎng)復(fù)蘇,特定制程或迎漲價(jià)潮

    隨著全球科技產(chǎn)業(yè)的不斷發(fā)展,代工市場(chǎng)作為半導(dǎo)體產(chǎn)業(yè)鏈中的重要一環(huán),其動(dòng)態(tài)變化一直備受行業(yè)內(nèi)外關(guān)注。近日,根據(jù)TrendForce集邦咨詢的最新調(diào)查報(bào)告,中國(guó)大陸
    的頭像 發(fā)表于 06-20 10:40 ?474次閱讀

    臺(tái)灣代工與IC封裝測(cè)試2023均為全球第一

    均位居第一。報(bào)告稱,臺(tái)積電在全球半導(dǎo)體代工市場(chǎng)保持優(yōu)勢(shì)地位,其產(chǎn)值之市占率從2022的5
    的頭像 發(fā)表于 04-22 13:52 ?396次閱讀
    臺(tái)灣<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>與IC封裝測(cè)試<b class='flag-5'>2023</b><b class='flag-5'>年</b>均為全球第一

    臺(tái)積電降低全球代工增速預(yù)期至10%以下

    早在1月份的法說(shuō)會(huì)上,魏哲家曾樂觀地預(yù)測(cè)2024全球代工產(chǎn)業(yè)將保持健康增長(zhǎng),全年收入增幅有
    的頭像 發(fā)表于 04-18 15:49 ?425次閱讀

    代工營(yíng)收榜公布,國(guó)產(chǎn)廠商持續(xù)反超,2023利潤(rùn)普遍承壓

    電子發(fā)燒友網(wǎng)報(bào)道(文/劉靜)2023,受全球市場(chǎng)需求疲軟,代工行業(yè)高景氣度持續(xù)下行,企業(yè)
    的頭像 發(fā)表于 03-16 01:12 ?2246次閱讀
    <b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>營(yíng)收榜公布,國(guó)產(chǎn)廠商持續(xù)反超,<b class='flag-5'>2023</b><b class='flag-5'>年</b>利潤(rùn)普遍承壓

    三星代工一季度將大降價(jià),欲與對(duì)手搶單

    自去年下半年以來(lái),全球代工業(yè)面臨市場(chǎng)需求下滑的壓力。為了搶占市場(chǎng)份額,各家
    的頭像 發(fā)表于 01-05 17:03 ?855次閱讀

    全球代工行業(yè)格局及市場(chǎng)趨勢(shì)

    制造產(chǎn)業(yè)在集成電路產(chǎn)業(yè)中起著承前啟后的作用,是整個(gè)集成電路產(chǎn)業(yè)的平臺(tái)和核心,而代工又是
    發(fā)表于 01-04 10:56 ?1279次閱讀
    全球<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>行業(yè)格局及<b class='flag-5'>市場(chǎng)</b>趨勢(shì)

    AI代工產(chǎn)業(yè)將帶來(lái)什么的未來(lái)?

    在12英寸產(chǎn)能利用率上,位于頭部的代工企業(yè)的產(chǎn)能利用率大致也能達(dá)到80%左右。不過可以發(fā)現(xiàn),三星在先進(jìn)工藝上名列前茅,但產(chǎn)能利用率處
    的頭像 發(fā)表于 12-13 10:39 ?956次閱讀
    AI<b class='flag-5'>為</b><b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>產(chǎn)業(yè)將帶來(lái)什么的未來(lái)?

    代工成熟制程出現(xiàn)降價(jià)?

    近期市場(chǎng)傳出緩解產(chǎn)能利用率下滑,多家代工廠商下調(diào)價(jià)格的消息。
    的頭像 發(fā)表于 12-08 10:16 ?503次閱讀

    市場(chǎng)復(fù)蘇緩慢、競(jìng)爭(zhēng)加劇,代工成熟制程出現(xiàn)降價(jià)?

    韓國(guó)代工廠商同樣也受到影響,近期韓媒報(bào)道,一些本土設(shè)計(jì)廠商已經(jīng)開始要求代工廠商降價(jià),有
    的頭像 發(fā)表于 12-06 17:36 ?670次閱讀

    代工價(jià)格暴跌!

    據(jù)介紹,消費(fèi)性客戶投片需求低,而專攻 8 英寸代工成熟制程的廠商受影響最大,例如電源管理 IC、驅(qū)動(dòng) IC 及微控制器(MCU)等芯片庫(kù)存水位仍保持較高水平,且部分產(chǎn)品已經(jīng)轉(zhuǎn)投 1
    的頭像 發(fā)表于 11-22 17:15 ?591次閱讀

    AI應(yīng)用帶動(dòng)2024全球代工增長(zhǎng)!臺(tái)積電Q3業(yè)績(jī)超預(yù)期,中芯國(guó)際上調(diào)資本支出

    2023對(duì)全球代工產(chǎn)業(yè)是嚴(yán)峻的一,集邦咨詢最新發(fā)布調(diào)研報(bào)告顯示,從營(yíng)收來(lái)看,今年
    的頭像 發(fā)表于 11-15 00:17 ?1827次閱讀
    AI應(yīng)用帶動(dòng)2024<b class='flag-5'>年</b>全球<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b><b class='flag-5'>增長(zhǎng)</b>!臺(tái)積電Q3業(yè)績(jī)超預(yù)期,中芯國(guó)際上調(diào)資本支出

    代工價(jià)格暴跌,部分降幅高達(dá)15%至20%

    消息透露,除了臺(tái)積電仍在保持相對(duì)堅(jiān)挺的報(bào)價(jià)外,其他廠商幾乎都無(wú)法幸免。代工業(yè)者表示,由于成熟制程
    的頭像 發(fā)表于 11-14 16:36 ?965次閱讀

    DIGITIMES Research表示,盡管芯片需求疲軟,但預(yù)計(jì)2024全球代工收入仍將增長(zhǎng)

    據(jù) DIGITIMES Research 最新發(fā)布的一份長(zhǎng)達(dá) 20 多頁(yè)的報(bào)告稱,全球代工行業(yè)的總收入有望在 2024 恢復(fù)增長(zhǎng),但芯
    的頭像 發(fā)表于 09-28 15:18 ?367次閱讀