0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片定制化將是AI計(jì)算的未來

我快閉嘴 ? 來源:華強(qiáng)電子網(wǎng) ? 作者:華強(qiáng)電子網(wǎng) ? 2020-07-17 11:37 ? 次閱讀

在由本次會(huì)議上海市浦東新區(qū)科技和經(jīng)濟(jì)委員會(huì)、上海張江高科技園區(qū)開發(fā)股份有限公司和芯原微電子(上海)股份有限公司(芯原股份)共同承辦的2020世界人工智能大會(huì)云端峰會(huì)“人工智能芯片創(chuàng)新主題論壇”上,三星電子高級(jí)副總裁MoonSoo Kang壓軸重點(diǎn)介紹了三星布局未來AI產(chǎn)品的晶圓代工生態(tài)系統(tǒng)。三星電子是目前全球排名第二的晶圓代工廠,且同時(shí)擁有FinFET和FD-SOI兩種先進(jìn)工藝產(chǎn)線MoonSoo Kang表示,芯片定制化是AI計(jì)算的未來,三星可為AI芯片提供從工藝技術(shù)到IP和設(shè)計(jì)方法等的一攬子解決方案。

芯片定制化是AI計(jì)算的未來

眾所周知,人工智能正在迅速改變著世界。但人工智能解決方案才剛剛開始,并且具有巨大的成長(zhǎng)潛力。即使在人工智能的初期,人工智能也已經(jīng)以許多不同方式滲透到我們生活的各個(gè)角落。從超大規(guī)模數(shù)據(jù)中心到我們的家庭和汽車,再到我們個(gè)人化的設(shè)備,如移動(dòng)電話和可穿戴設(shè)備,我們可以發(fā)現(xiàn)不同規(guī)模的多樣化的人工智能應(yīng)用程序。

這些多樣化的AI應(yīng)用程序需要多樣化的計(jì)算需求和技術(shù)要求,同時(shí)面臨著不同的邊界條件和需要克服的技術(shù)障礙,沒有單一的解決方案能滿足所有的需求,因此,為給定類型的AI應(yīng)用程序提供它所需要的正確的計(jì)算解決方案是非常重要的,Moonsoo Kang表示。

顯然,計(jì)算能力本身對(duì)于實(shí)現(xiàn)人工智能是至關(guān)重要的,AI的計(jì)算架構(gòu)一直在進(jìn)化發(fā)展,通用CPU是用于AI應(yīng)用領(lǐng)域的最靈活的計(jì)算解決方案,但是,它并沒有針對(duì)AI應(yīng)用領(lǐng)域所需的計(jì)算操作進(jìn)行特別優(yōu)化,接下來,GPU在AI類型應(yīng)用中變得非常流行,因?yàn)樗鼈冊(cè)谔幚鞟I類型計(jì)算方面具有更高的效率,現(xiàn)在,通過定制設(shè)計(jì)的AI處理器(custom-designed AI processors)可以實(shí)現(xiàn)更高的計(jì)算效率,我們相信這是AI計(jì)算的未來。

可以看到通用CPU占據(jù)當(dāng)今數(shù)據(jù)中心推理(Inference)應(yīng)用市場(chǎng)的主導(dǎo)地位,但預(yù)計(jì)到2025年,定制AI芯片將占據(jù)將近一半的市場(chǎng)份額。另一方面,在數(shù)據(jù)中心的培訓(xùn)(Training)應(yīng)用市場(chǎng)目前是完全由GPU主導(dǎo)但是到2025年,這個(gè)市場(chǎng)上最重要的解決方案將是定制AI芯片,硅片技術(shù)的最新趨勢(shì),這也許對(duì)AI應(yīng)用很重要,是異質(zhì)整合(heterogeneous Integration),這與半導(dǎo)體行業(yè)的傳統(tǒng)方向——也就是越來越多的內(nèi)容集成到單個(gè)芯片中的方向截然相反,這種趨勢(shì)是由于下面幾個(gè)事實(shí):

首先,高端制程的邏輯工藝變得越來越昂貴。先進(jìn)工藝的硅片制造成本越來越高,而先進(jìn)技術(shù)節(jié)點(diǎn)的芯片設(shè)計(jì)成本也隨之迅速增加;其次,并非設(shè)計(jì)的所有部分都以相同的方式體驗(yàn)高級(jí)技術(shù)節(jié)點(diǎn)的好處,例如,模擬設(shè)計(jì)不會(huì)隨著先進(jìn)技術(shù)節(jié)點(diǎn)的發(fā)展而縮小,考慮到更高的晶圓成本,采用先進(jìn)技術(shù)節(jié)點(diǎn)的模擬零件變得越來越昂貴,由于這個(gè)原因,分解的想法變得很流行,單個(gè)芯片可以分為多個(gè)小芯片(Chiplet),這些小芯片可以組裝在中介層(Interposer)的頂部或多芯片封裝模塊中,當(dāng)然,這個(gè)想法需要克服很多技術(shù)和經(jīng)濟(jì)上的障礙,但這正在成為不可否認(rèn)的趨勢(shì),至少在某些細(xì)分市場(chǎng)中是這樣。

三星晶圓代工生態(tài)系統(tǒng)布局未來AI產(chǎn)品

在AI行業(yè)的計(jì)算架構(gòu)和硅解決方案中已經(jīng)發(fā)生的和正在發(fā)生的變化,那么Samsung Foundry能將提供什么樣的工藝,IP和封裝方案來幫助AI行業(yè)呢?

Foundry的工藝技術(shù)

Samsung Foundry在成功開發(fā)硅片先進(jìn)制程技術(shù)方面擁有悠久的歷史,Moonsoo Kang介紹到,我們?cè)贔oundry行業(yè)中率先在32/28nm工藝上引進(jìn)了High-K金屬柵極技術(shù),然后又領(lǐng)先推出第一款采用FinFET晶體管結(jié)構(gòu)的14nm工藝,第一款EUV光罩技術(shù)的量產(chǎn)又在我們的7nm技術(shù)上實(shí)現(xiàn)。而且,創(chuàng)新不止于此,我們?cè)?nm技術(shù)中引進(jìn)了世界上第一個(gè)全環(huán)柵極晶體管技術(shù)(Gate-all-around transistor)。除了主流技術(shù)節(jié)點(diǎn)開發(fā)外,我們還擁有特殊工藝技術(shù)來提供差別化的解決方案,我們開發(fā)了28nm FD-SOI工藝并提供了嵌入式非易失性存儲(chǔ)器解決方案, 包括eFlash和eMRAM。并且我們正在18nm節(jié)點(diǎn)上開發(fā)第二代FD-SOI技術(shù),Samsung Foundry的下一個(gè)重要目標(biāo)是GAA,全環(huán)柵極晶體管技術(shù),硅晶體管已從平面(Planar)演變到立體的FinFET,來實(shí)現(xiàn)更好的面積和電壓減縮,現(xiàn)在,為了進(jìn)一步改善FinFET并克服FinFET的短通道效應(yīng),我們正在引入一種稱為全環(huán)柵極的新型晶體管架構(gòu),借助這項(xiàng)新技術(shù),我們可以進(jìn)一步降低晶體管的工作電壓,從而實(shí)現(xiàn)更節(jié)能的計(jì)算,這對(duì)于AI應(yīng)用至關(guān)重要。

同樣,對(duì)于GAA器件,器件寬度會(huì)隨著納米片(Nano sheet)通道的垂直堆疊的增加而增加,因此可以實(shí)現(xiàn)速度增強(qiáng)而不會(huì)造成面積損失,這項(xiàng)技術(shù)將在較小的硅片面積中實(shí)現(xiàn)更少的能耗和更多的計(jì)算能力,作為差別化的技術(shù)開發(fā),我們?cè)贔D-SOI工藝提供eNVM解決方案,以實(shí)現(xiàn)最終的低功耗應(yīng)用。

人工智能的應(yīng)用范圍很廣,隨著AI應(yīng)用進(jìn)入我們的日常生活,在終端點(diǎn)附近或邊緣AI進(jìn)行處理正變得越來越普遍并變得越來越重要。對(duì)于此類應(yīng)用,獲得每功率更多的性能以及總功耗極為重要,我們的FD-SOI技術(shù)為節(jié)能解決方案提供了平臺(tái)。

并且,借助嵌入式非易失性存儲(chǔ)器(如eFlash和eMRAM),有可能實(shí)現(xiàn)模擬類型的內(nèi)存計(jì)算,與傳統(tǒng)的基于數(shù)字邏輯的計(jì)算架構(gòu)相比,其功耗更低,面積更小,處理速度更快。

IP設(shè)計(jì)

以上是Samsung Foundry的工藝技術(shù),但是,僅靠硅制程技術(shù)不能提供出色的芯片,要設(shè)計(jì)具有競(jìng)爭(zhēng)力的芯片,需要優(yōu)秀的設(shè)計(jì)IP。Moonsoo Kang表示,Samsung Foundry可提供全套的設(shè)計(jì)IP來支持AI和HPC應(yīng)用以及移動(dòng)應(yīng)用,我們提供各種內(nèi)存接口IP,例如HBM2/2e,GDDR6,DDR5/4和LPDDR5/4,最高速度可達(dá)112G的Serdes IP,高速接口(例如PCIe,MIPIUSB)以及Die-to-die接口串行和并行類型。這些IP由我們的IP合作伙伴或Samsung Foundry內(nèi)部開發(fā),并經(jīng)過所有測(cè)試和硅驗(yàn)證。

接下來是我們的高速存儲(chǔ)器和Serdes IP的更多技術(shù)細(xì)節(jié)。這些在設(shè)計(jì)AI類型計(jì)算解決方案中顯得非常重要,我們已經(jīng)有HBM2/2e IP,HBM3 IP正在開發(fā)中,右上方顯示了高達(dá)112G的Serdes IP,左下方顯示了用于經(jīng)濟(jì)高效的高帶寬解決方案的GDDR6 IP,芯片到芯片(Die-to-die) IP是異質(zhì)整合的重要組成部分,有串行和并行兩種類型。

封裝技術(shù)

隨著異質(zhì)整合,封裝技術(shù)正成為關(guān)鍵的推動(dòng)技術(shù)。Samsung Foundry提供并繼續(xù)開發(fā)各種針對(duì)AI產(chǎn)品優(yōu)化的封裝解決方案,Moonsoo Kang表示,我們提供使用硅片和RDL中介層(interposer)連接邏輯和高帶寬存儲(chǔ)器或邏輯和邏輯芯片的2.5D水平方向集成解決方案,我們的2.5D集成解決方案從4HBM集成將進(jìn)一步擴(kuò)展到6和多于8個(gè)HBM集成。

我們還提供3D-TSV芯片堆疊集成解決方案,其中一個(gè)芯片位于另一個(gè)芯片的頂部,以實(shí)現(xiàn)極高的帶寬,隨著焊盤間距小至10um, 3D集成解決方案將進(jìn)一步擴(kuò)展到晶圓對(duì)晶圓鍵合和芯片對(duì)晶圓技術(shù)。

AI應(yīng)用領(lǐng)域的一個(gè)重要方面,尤其是對(duì)于耗電量巨大的數(shù)據(jù)中心類型的AI產(chǎn)品而言,是高功耗,因此需要提供優(yōu)秀的電源完整性(PI)解決方案,隨著計(jì)算能力的提高,開關(guān)噪聲或功率紋波成為關(guān)鍵問題。

Moonsoo Kang表示,作為一種解決方案,晶體管附近的高密度硅電容器可以減少電源噪聲并提高PI,Samsung Foundry提供了各種電容器解決方案來幫助增強(qiáng)PI,具有高電容密度的集成堆棧電容器(Integrated Stack Capacitor)可以集成在硅片中介層內(nèi)部或作為分立芯片,集成的堆棧電容器可以顯著改善輸電網(wǎng)絡(luò)的峰值阻抗和電壓降如下圖所示。我們還提供MIM(金屬絕緣體金屬)電容器和EPS(嵌入式無源基板),以進(jìn)一步增強(qiáng)電源完整性。

最后,以上談到的Samsung Foundry的硅工藝技術(shù),設(shè)計(jì)IP和封裝這些技術(shù)組件不只是作為離散組件提供,它們是一個(gè)完整且客戶友好的生態(tài)系統(tǒng),簡(jiǎn)稱為SAFE,稱為Samsung Advanced Foundry Ecosystem,可提供“一站式”解決方案。Moonsoo Kang分享與重要客戶百度的成功合作經(jīng)驗(yàn)。百度使用SAFE平臺(tái)成功開發(fā)了同類最佳的AI加速器芯片,該產(chǎn)品采用了Samsung Foundry的14nm邏輯工藝,SAFE可靠的IP解決方案和設(shè)計(jì)方法,和HBM一起構(gòu)建在2.5D硅片中介層PKG。
責(zé)任編輯:tzh

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417153
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4743

    瀏覽量

    127276
  • 三星電子
    +關(guān)注

    關(guān)注

    4

    文章

    568

    瀏覽量

    40715
  • AI
    AI
    +關(guān)注

    關(guān)注

    87

    文章

    28877

    瀏覽量

    266221
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾將為亞馬遜定制AI芯片

    英特爾首席執(zhí)行官帕特·基辛格近日宣布了一項(xiàng)重大合作,正式將亞馬遜AWS納入其高端制造業(yè)務(wù)的客戶行列。雙方將攜手開啟一項(xiàng)為期數(shù)年、投資規(guī)模高達(dá)數(shù)十億美元的宏偉計(jì)劃,共同研發(fā)一款專為人工智能計(jì)算量身定制芯片。
    的頭像 發(fā)表于 09-20 17:54 ?349次閱讀

    嵌入式系統(tǒng)的未來趨勢(shì)有哪些?

    嵌入式系統(tǒng)是指將我們的操作系統(tǒng)和功能軟件集成于計(jì)算機(jī)硬件系統(tǒng)之中,形成一個(gè)專用的計(jì)算機(jī)系統(tǒng)。那么嵌入式系統(tǒng)的未來趨勢(shì)有哪些呢? 1. 人工智能與機(jī)器學(xué)習(xí)的整合 隨著現(xiàn)代人工智能(AI
    發(fā)表于 09-12 15:42

    AI芯片的混合精度計(jì)算與靈活可擴(kuò)展

    、NPU、DSP等。 ? 而無論是哪種架構(gòu),如何判斷其性能優(yōu)劣都至關(guān)重要,而這就涉及到AI芯片的各項(xiàng)性能指標(biāo),如算力、能效、時(shí)延等。其中AI芯片的算力精度是衡量其處理數(shù)據(jù)能力的重要指標(biāo)
    的頭像 發(fā)表于 08-23 00:08 ?4272次閱讀

    Imagination 引領(lǐng)邊緣計(jì)算AI創(chuàng)新,擁抱AI未來發(fā)展

    ,致力于推動(dòng)技術(shù)創(chuàng)新,擁抱人工智能的未來發(fā)展。同時(shí)他也介紹了Imagination在計(jì)算領(lǐng)域的戰(zhàn)略布局、產(chǎn)品技術(shù)以及對(duì)未來AI發(fā)展的深刻洞察。Imagination
    的頭像 發(fā)表于 06-28 08:28 ?412次閱讀
    Imagination 引領(lǐng)邊緣<b class='flag-5'>計(jì)算</b>和<b class='flag-5'>AI</b>創(chuàng)新,擁抱<b class='flag-5'>AI</b><b class='flag-5'>未來</b>發(fā)展

    芯品# 高性能計(jì)算芯片

    (LSE:AWE)是全球技術(shù)基礎(chǔ)設(shè)施高速連接和計(jì)算芯片的全球領(lǐng)導(dǎo)者,與Arm合作開發(fā)基于Arm ? Neoverse?計(jì)算子系統(tǒng)(CSS)的高級(jí)計(jì)算
    的頭像 發(fā)表于 06-27 10:28 ?2242次閱讀

    中國(guó)AI芯片行業(yè),自主突破與未來展望

    在全球科技競(jìng)賽的舞臺(tái)上,中國(guó)AI芯片行業(yè)正面臨前所未有的挑戰(zhàn)與機(jī)遇。近日,Gartner研究副總裁盛陵海在一場(chǎng)分享會(huì)上深入剖析了中國(guó)AI芯片行業(yè)的現(xiàn)狀和
    的頭像 發(fā)表于 06-19 17:02 ?480次閱讀

    AI芯片哪里買?

    AI芯片
    芯廣場(chǎng)
    發(fā)布于 :2024年05月31日 16:58:19

    risc-v多核芯片AI方面的應(yīng)用

    得RISC-V多核芯片能夠更好地適應(yīng)AI算法的不同需求,包括深度學(xué)習(xí)、神經(jīng)網(wǎng)絡(luò)等,從而提高芯片的性能和效率,降低成本,使AI邊緣計(jì)算晶片更具
    發(fā)表于 04-28 09:20

    英碼嵌入式推出昇騰系列AI智能計(jì)算模組和開發(fā)套件:多規(guī)格算力,支持國(guó)產(chǎn)定制!

    了基于昇騰310系列AI推理芯片AI智能計(jì)算模組EA200I和開發(fā)套件EA200I-DK,具有高算力、接口豐富、工業(yè)級(jí)寬溫設(shè)計(jì)、國(guó)產(chǎn)、支
    的頭像 發(fā)表于 04-22 10:33 ?461次閱讀
    英碼嵌入式推出昇騰系列<b class='flag-5'>AI</b>智能<b class='flag-5'>計(jì)算</b>模組和開發(fā)套件:多規(guī)格算力,支持國(guó)產(chǎn)<b class='flag-5'>化</b><b class='flag-5'>定制</b>!

    AI芯片未來會(huì)控制這個(gè)世界嗎?

    AI芯片行業(yè)資訊
    芯廣場(chǎng)
    發(fā)布于 :2024年03月27日 18:21:28

    家居智能,推動(dòng)AI加速器的發(fā)展

    電子發(fā)燒友網(wǎng)報(bào)道(文/黃山明)AI加速芯片,也稱為人工智能加速器(AI Accelerator),是一種專為執(zhí)行機(jī)器學(xué)習(xí)和深度學(xué)習(xí)任務(wù)而設(shè)計(jì)的ASIC或定制化處理器。在智能家居中,
    的頭像 發(fā)表于 02-23 00:18 ?4405次閱讀

    英偉達(dá)涉足定制芯片,聚焦云計(jì)算AI市場(chǎng)

     作為全球高端AI芯片市場(chǎng)80%份額的霸主,英偉達(dá)自2023以來股價(jià)上漲超過兩倍,2024年市值高達(dá)1.73萬億美元。知名公司如微軟、OpenAI、Meta紛紛采購英偉達(dá)高端AI芯片,
    的頭像 發(fā)表于 02-18 11:08 ?533次閱讀

    英偉達(dá)正在建立新的業(yè)務(wù)部門,以幫助云計(jì)算公司設(shè)計(jì)定制芯片

    近期媒體曝光,英偉達(dá)正設(shè)立新業(yè)務(wù)部門,為云計(jì)算企業(yè)提供包含AI芯片定制服務(wù)。該公司首席執(zhí)行官黃仁勛預(yù)測(cè),隨著運(yùn)算科技的升級(jí),
    的頭像 發(fā)表于 02-18 10:06 ?440次閱讀

    Arm篤定服務(wù)器市場(chǎng),定制芯片構(gòu)建基礎(chǔ)設(shè)施的未來

    ”為主題,圍繞人工智能、機(jī)器學(xué)習(xí)、物聯(lián)網(wǎng)、基礎(chǔ)設(shè)施、汽車、終端、移動(dòng)計(jì)算等行業(yè)熱點(diǎn)話題展開。在此次大會(huì)上,Arm傳遞出對(duì)于服務(wù)器市場(chǎng)的處理器技術(shù)以及合作生態(tài)的最新洞察,并介紹了所推出的相關(guān)技術(shù)產(chǎn)品以大力支持基礎(chǔ)設(shè)施的加速建設(shè)。 ? AI時(shí)代,
    的頭像 發(fā)表于 12-04 14:42 ?1203次閱讀
    Arm篤定服務(wù)器市場(chǎng),<b class='flag-5'>定制</b><b class='flag-5'>化</b><b class='flag-5'>芯片</b>構(gòu)建基礎(chǔ)設(shè)施的<b class='flag-5'>未來</b>

    #芯片 #AI 世界最強(qiáng)AI芯片H200性能大揭秘!

    芯片AI
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年11月15日 15:54:37