0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

多次面臨致命局面,英特爾能否涅槃重回芯片王者地位?

如意 ? 來源:中國戰(zhàn)略新興產(chǎn)業(yè) ? 作者:中國戰(zhàn)略新興產(chǎn)業(yè) ? 2020-08-26 14:43 ? 次閱讀

2020年,這家世界級(jí)的龍頭廠商——英特爾,成為業(yè)界關(guān)注的焦點(diǎn),自英特爾宣布將其7nm工藝制程的時(shí)間推遲后,又面臨首席技術(shù)工程師離職、被訴侵權(quán)技術(shù)專利、市場(chǎng)分額被英偉達(dá)反超等熱聞。

這對(duì)于一家偉大的芯片設(shè)計(jì)、制造和銷售公司來說無疑是巨大的失敗。最近,英特爾發(fā)布的一項(xiàng)新技術(shù)震驚業(yè)界,此舉大有虎賁龍鑲、飛燕還巢之勢(shì),也讓我們看到了英特爾重登“王座”的希望。

這家擁有世界級(jí)影響的“藍(lán)色巨獸”,在跨越了一個(gè)世紀(jì)之久的發(fā)展歷程中,也有著諸多跌宕起伏的故事。一切,要從一則影響信息產(chǎn)業(yè)近百年的“金科玉律”說起”。..。..

戈登·摩爾與摩爾定律

在IT行業(yè),有一則“神話”般的定律。整個(gè)信息產(chǎn)業(yè)幾乎都在按照此定律,以指數(shù)方式領(lǐng)導(dǎo)著整個(gè)經(jīng)濟(jì)發(fā)展的步伐。這個(gè)定律就是“摩爾定律”,而定律的發(fā)現(xiàn)者正是世界頭號(hào)CPU生產(chǎn)商Intel公司的創(chuàng)始人之一——戈登·摩爾。

摩爾定律是指,當(dāng)價(jià)格不變時(shí),集成電路上可容納的元器件的數(shù)目,約每隔18-24個(gè)月增加一倍,性能提升一倍。換言之,每一美元所能買到的電腦性能,將每隔18-24個(gè)月翻一倍以上。這一定律揭示了信息技術(shù)進(jìn)步的速度 。

隨著PC在全球范圍內(nèi)獲得的巨大成功,提供PC核心部件的Intel從一個(gè)存儲(chǔ)器制造商成長(zhǎng)為一個(gè)更加輝煌的芯片制造商。戈登·摩爾正是這場(chǎng)變革和進(jìn)步的最大推動(dòng)者和勝利者。

行業(yè)的“金科玉律”遭遇瓶頸

相關(guān)報(bào)道顯示,2004年后,這套幾十年來半導(dǎo)體行業(yè)遵循的金科玉律,越來越凸顯其局限性,最突出的表現(xiàn)便是各廠商近年來被用戶吐槽的“擠牙膏”行為。

行業(yè)專家曾指出,摩爾定律成就了各種技術(shù)變革,讓計(jì)算機(jī)的底層元件遵從此定律整整50年。然而,近年來業(yè)界對(duì)摩爾定律的懷疑聲連綿不斷,摩爾定律節(jié)奏放緩是不置可否的事實(shí),其變化給半導(dǎo)體產(chǎn)業(yè)帶來了諸多不確定性。曾有業(yè)界人士斷言到,未來的摩爾定律會(huì)愈發(fā)衰敗,繼而逐漸消失。

救命稻草——FINFET技術(shù)

相關(guān)文獻(xiàn)顯示,F(xiàn)inFET是一種稱之為鰭式場(chǎng)效晶體管的互補(bǔ)式金氧半導(dǎo)體晶體管。閘長(zhǎng)已可小于25奈米。該項(xiàng)技術(shù)的發(fā)明人是加州大學(xué)伯克利分校的胡正明教授。Fin是魚鰭的意思,F(xiàn)inFET命名根據(jù)晶體管的形狀與魚鰭的相似性。

多次面臨致命局面,英特爾能否涅槃重回芯片王者地位?

胡正明教授1968年在臺(tái)灣國立大學(xué)獲電子工程學(xué)士學(xué)位,1970年和1973年在伯克利大學(xué)獲得電子工程與計(jì)算機(jī)科學(xué)碩士和博士學(xué)位?,F(xiàn)為美國工程院院士。2000年憑借FinFET獲得美國國防部高級(jí)研究項(xiàng)目局最杰出技術(shù)成就獎(jiǎng)。他研究的BSIM模型已成為晶體管模型的唯一國際標(biāo)準(zhǔn),培養(yǎng)了100多名學(xué)生,許多學(xué)生已經(jīng)成為這個(gè)領(lǐng)域的大牛,曾獲Berkeley的最高教學(xué)獎(jiǎng);于2001~2004年擔(dān)任臺(tái)積電的CTO。

為了讓摩爾定律延續(xù)到更小的器件尺度,學(xué)術(shù)界和工業(yè)界在不同的材料、器件結(jié)構(gòu)和工作原理方面的探索一直在進(jìn)行中。探索的問題之一是晶體管的閘極設(shè)計(jì)。隨著器件尺寸越來越小,能否有效的控制晶體管中的電流變得越來越重要。

從亞微米工藝, 到后來的90nm工藝所代表的深亞微米時(shí)代,業(yè)內(nèi)一直按照摩爾定律,穩(wěn)步的發(fā)展。在65nm工藝的晶體管中的二氧化硅層已經(jīng)縮小僅有5個(gè)氧原子的厚度了。作為阻隔柵極和下層的絕緣體,二氧化硅層已經(jīng)不能再進(jìn)一步縮小了,否則產(chǎn)生的漏電流會(huì)讓晶體管無法正常工作。

然而在28nm之后,人們發(fā)現(xiàn),如果繼續(xù)采用傳統(tǒng)的Planar結(jié)構(gòu),摩爾定律難以為繼。

這時(shí)候,重要到了必須采用一種新結(jié)構(gòu)的時(shí)刻了,繼而目光便放到了這種非常有前途的結(jié)構(gòu)上,也就是現(xiàn)在赫赫有名的FinFET結(jié)構(gòu)。

在FinFET工藝現(xiàn)實(shí)之后,英特爾便成為了這項(xiàng)工藝的推廣者并從中獲得巨大收益,一路高歌猛進(jìn)之后,英特爾的半導(dǎo)體芯片工藝已經(jīng)達(dá)到了世界頂尖級(jí)別的存在,但在近幾年,隨著物理極限的逼近和部分商業(yè)摩擦,讓英特爾在工藝推進(jìn)方面出現(xiàn)了頻繁“擠牙膏”的現(xiàn)象。

英特爾遭遇“中年危機(jī)”

英特爾在工藝方面的延緩問題,近幾年頻繁發(fā)生。相關(guān)資料顯示,2014年,英特爾發(fā)布Core M系列處理器。采用全新14nm工藝和第二代3D晶體管技術(shù)的處理器。2015年第三季度,英特爾發(fā)布了采用成熟14nm工藝、Skylake架構(gòu)的第六代酷睿系列處理器。此后,制程工藝的數(shù)字似乎停止了,直到現(xiàn)在,英特爾在主流桌面處理器市場(chǎng)上再也沒有推出過更小數(shù)字的工藝。

雷蒙德詹姆斯金融的分析師Chris Caso宣稱“英特爾10nm延期為競(jìng)爭(zhēng)對(duì)手打開了一個(gè)窗口,這個(gè)窗口可能永遠(yuǎn)都不會(huì)關(guān)閉,很可能意味著英特爾在計(jì)算領(lǐng)域主導(dǎo)地位的終結(jié)?!币坏┻@樣的言論成為市場(chǎng)主流,將徹底動(dòng)搖英特爾在產(chǎn)業(yè)界的領(lǐng)先地位和形象,并進(jìn)一步拖累股價(jià)。

2018年,英特爾宣布,10nm工藝良率、頻率表現(xiàn)不夠理想,無法完成大規(guī)模商業(yè)化生產(chǎn),并且計(jì)劃將10nm工藝拆分成10nm、10nm+和10nm++三代,分別在2019年、2020年、2021年推出。

2019年底,英特爾終于正式發(fā)布了10nm制程的移動(dòng)平臺(tái)產(chǎn)品,但2020年上半年英特爾主打的產(chǎn)品依舊采用14nm工藝,并且整個(gè)桌面市場(chǎng)和服務(wù)器市場(chǎng)都是如此。真正工藝成熟、彰顯高性能的10nm桌面版本處理器產(chǎn)品依舊遙遙無期,有消息稱,英特爾可能在2021年底才會(huì)推出10nm+的桌面處理器,到2022年再推出10nm++的版本。

此外,今年7月,英特爾發(fā)布消息,曾一度宣稱的7nm工藝遭遇嚴(yán)重困難,將延期6~12個(gè)月,預(yù)計(jì)直到2022年下半年或2023年初才會(huì)在市場(chǎng)上首次亮相。

屋漏偏逢連夜雨,英特爾被訴侵權(quán)

我們知道,最早使用FinFET工藝的是英特爾,他們?cè)?2納米的第三代酷睿處理器上使用FinFET工藝,隨后各大半導(dǎo)體廠商也開始轉(zhuǎn)進(jìn)到FinFET工藝之中,其中包括了臺(tái)積電16nm、10nm、三星14nm、10nm以及格羅方德的14nm。

然而盡管英特爾最早使用了FinFET工藝,但這并不意味著該工藝的相關(guān)技術(shù)及專利可以任由英特爾隨意使用。近日,國家知識(shí)產(chǎn)權(quán)局專利復(fù)審委員會(huì)消息,其審理了201110240931.5(“FinFET專利”)發(fā)明專利的無效申請(qǐng)。無效申請(qǐng)的請(qǐng)求人是英特爾(中國)有限公司,而專利權(quán)人為中國科學(xué)院微電子研究所。

據(jù)悉,這是英特爾為應(yīng)對(duì)微電子所2018年發(fā)起的專利侵權(quán)訴訟而采取的措施。在此之前,英特爾已經(jīng)先后5次在中美兩地對(duì)涉案專利及其美國同族專利發(fā)起無效申請(qǐng),均以失敗告終。微電子所訴稱,英特爾酷睿系列處理器侵犯了其名為“半導(dǎo)體器件結(jié)構(gòu)及其制作方法、及半導(dǎo)體鰭制作方法”的FinFET專利,要求英特爾停止侵權(quán),賠償至少2億元人民幣,并承擔(dān)訴訟費(fèi)用,同時(shí)申請(qǐng)法院下達(dá)禁令。

或放棄IDM模式

華爾街見聞相關(guān)消息,如果7納米工藝制程中存在的缺陷遲遲不能解決,則將考慮將芯片制造業(yè)務(wù)外包。

英特爾多年以來一直采用IDM模式,IDM是指集芯片設(shè)計(jì)、芯片制造、芯片封裝、測(cè)試到銷售的一條龍式產(chǎn)業(yè)鏈模式。目前,世界上采用這種模式的企業(yè)主要有:英特爾、三星、德州儀器TI)。其中,在規(guī)模上,英特爾位居榜首,主宰行業(yè)至今。

多次面臨致命局面,英特爾能否涅槃重回芯片王者地位?

一旦英特爾采用外包模式,那么就意味英特爾苦心經(jīng)營多年的的IDM模式將付之一炬。

涅槃之緣,英特爾重構(gòu)版FINFET

英特爾在2020年架構(gòu)日活動(dòng)上,正式公布了全新的SuperFin晶體管技術(shù)、“混合結(jié)合”封裝技術(shù),進(jìn)一步展示了英特爾半導(dǎo)體工藝上的持續(xù)創(chuàng)新。

作為摩爾定律的提出者和踐行者,英特爾一直以來在晶體管技術(shù)上不斷變革創(chuàng)新,比如90nm時(shí)代的應(yīng)變硅、45nm時(shí)代的高K金屬柵極、22nm時(shí)代的FinFET立體晶體管。

即便是飽受爭(zhēng)議的14nm工藝,Intel也在一直不斷改進(jìn),通過各種技術(shù)的加入,如今的加強(qiáng)版14nm在性能上相比第一代已經(jīng)提升了超過20%,堪比完全的節(jié)點(diǎn)轉(zhuǎn)換。

SuperFin在技術(shù)層面相當(dāng)復(fù)雜的,主要技術(shù)特性有:

增強(qiáng)源極和漏極上晶體結(jié)構(gòu)的外延長(zhǎng)度,從而增加應(yīng)變并減小電阻,以允許更多電流通過通道。

改進(jìn)柵極工藝,以實(shí)現(xiàn)更高的通道遷移率,從而使電荷載流子更快地移動(dòng)。

提供額外的柵極間距選項(xiàng),可為需要最高性能的芯片功能提供更高的驅(qū)動(dòng)電流。

使用新型薄壁阻隔將過孔電阻降低了30%,從而提升了互連性能表現(xiàn)。

與行業(yè)標(biāo)準(zhǔn)相比,在同等的占位面積內(nèi)電容增加了5倍,從而減少了電壓下降,顯著提高了產(chǎn)品性能。

結(jié)語

筆者認(rèn)為,關(guān)于此次英特爾重構(gòu)FinFET工藝,或許是與英特爾和中科院的侵權(quán)案有關(guān),英特爾對(duì)于FINFET工藝的重構(gòu),或是為繞開中科院的專利技術(shù),從而繼續(xù)將工藝制成向前推進(jìn)。但筆者在看到SuperFin的工藝提升后,不得不驚嘆,英特爾正充分利用其獨(dú)特的優(yōu)勢(shì),提供標(biāo)量、矢量、矩陣和空間架構(gòu)結(jié)合的解決方案,廣泛部署于CPU、GPU、加速器和FPGA中。

此次英特爾新工藝帶來的性能提升,可以一掃英特爾多日制程迭代不利消息的陰霾,我們也從中看到了7nm的曙光。但是盡管如此,專利侵權(quán)問題依舊要重視起來,以和諧共贏的形式共同發(fā)展,求得雙贏。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417160
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9748

    瀏覽量

    170648
  • 摩爾定律
    +關(guān)注

    關(guān)注

    4

    文章

    630

    瀏覽量

    78769
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    英特爾和AWS共同投資定制芯片

    英特爾與全球云計(jì)算巨頭亞馬遜AWS達(dá)成了一項(xiàng)重大合作,標(biāo)志著英特爾制造業(yè)務(wù)迎來了一位重量級(jí)客戶——AWS。此次合作不僅可能為英特爾正在美國興建的芯片工廠注入新的活力,更有望助力這家老牌
    的頭像 發(fā)表于 09-19 16:53 ?216次閱讀

    軟銀與英特爾AI芯片合作計(jì)劃告吹

    近日,科技界傳來消息,軟銀集團(tuán)與英特爾公司關(guān)于共同開發(fā)人工智能(AI)芯片的合作計(jì)劃以失敗告終。據(jù)悉,雙方曾計(jì)劃攜手生產(chǎn)AI芯片,以挑戰(zhàn)英偉達(dá)在市場(chǎng)的領(lǐng)先地位,但終因
    的頭像 發(fā)表于 08-16 17:46 ?820次閱讀

    英特爾CEO誓言奪回芯片領(lǐng)導(dǎo)地位

    英特爾CEO帕特·基辛格近日在采訪中堅(jiān)定表示,公司的首要任務(wù)是奪回芯片領(lǐng)域的領(lǐng)導(dǎo)地位。近年來,隨著臺(tái)積電和三星電子的崛起,英特爾在全球芯片
    的頭像 發(fā)表于 06-07 09:23 ?525次閱讀

    英特爾CEO:AI時(shí)代英特爾動(dòng)力不減

    英特爾CEO帕特·基辛格堅(jiān)信,在AI技術(shù)的飛速發(fā)展之下,英特爾的處理器仍能保持其核心地位?;粮窆_表示,摩爾定律仍然有效,而英特爾在處理器和芯片
    的頭像 發(fā)表于 06-06 10:04 ?298次閱讀

    蘋果M3芯片英特爾芯片的差距

    蘋果M3芯片英特爾芯片在多個(gè)方面存在顯著差異。首先,M3芯片是蘋果自家研發(fā)的,采用了先進(jìn)的制程技術(shù)和架構(gòu)設(shè)計(jì),使其具有出色的計(jì)算性能和多任務(wù)處理能力。而
    的頭像 發(fā)表于 03-11 18:21 ?2905次閱讀

    m3芯片相當(dāng)于英特爾幾代cpu m3芯片相當(dāng)于英特爾什么顯卡

    m3芯片相當(dāng)于英特爾幾代cpu 關(guān)于m3芯片相當(dāng)于英特爾幾代cpu的問題,實(shí)際上并沒有一個(gè)準(zhǔn)確的答案,因?yàn)椴煌?b class='flag-5'>芯片制造商與
    的頭像 發(fā)表于 03-11 18:13 ?1.1w次閱讀

    蘋果M3芯片英特爾芯片對(duì)比

    蘋果M3芯片英特爾芯片在多個(gè)方面存在顯著差異。首先,M3芯片是蘋果自家研發(fā)的,采用了先進(jìn)的制程技術(shù)和架構(gòu)設(shè)計(jì),具有出色的計(jì)算性能和多任務(wù)處理能力。而
    的頭像 發(fā)表于 03-08 16:12 ?1916次閱讀

    英特爾押注18A制程,力爭(zhēng)重回技術(shù)領(lǐng)先地位

    據(jù)悉,18A 制程是英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱其性能與晶體管密度媲美友商的 1.8 nm制程。
    的頭像 發(fā)表于 02-29 15:13 ?543次閱讀

    英特爾拿下微軟芯片代工訂單

    英特爾近日在美國圣荷西舉行的首次晶圓代工活動(dòng)中公布了其雄心勃勃的制程延伸藍(lán)圖。該公司首席執(zhí)行官在會(huì)上表示,通過采用Intel 18A先進(jìn)制程技術(shù),英特爾期望在2025年之前重新奪回制程技術(shù)的領(lǐng)先地位
    的頭像 發(fā)表于 02-26 10:01 ?541次閱讀

    英特爾重塑代工業(yè)務(wù)的五個(gè)關(guān)鍵要點(diǎn)簡(jiǎn)析

    英特爾將為微軟代工新芯片,挑戰(zhàn)臺(tái)積電地位。
    的頭像 發(fā)表于 02-25 16:59 ?699次閱讀
    <b class='flag-5'>英特爾</b>重塑代工業(yè)務(wù)的五個(gè)關(guān)鍵要點(diǎn)簡(jiǎn)析

    英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工—英特爾代工

    英特爾首推面向AI時(shí)代的系統(tǒng)級(jí)代工——英特爾代工(Intel Foundry),在技術(shù)、韌性和可持續(xù)性方面均處于領(lǐng)先地位。
    的頭像 發(fā)表于 02-25 10:38 ?424次閱讀
    <b class='flag-5'>英特爾</b>首推面向AI時(shí)代的系統(tǒng)級(jí)代工—<b class='flag-5'>英特爾</b>代工

    英特爾18A重回工藝領(lǐng)先地位?臺(tái)積電:沒可能

    關(guān)鍵因素上來,也就是半導(dǎo)體制造工藝。 ? 在英特爾宣布開展IDM 2.0后,芯片設(shè)計(jì)廠商們的選擇一下多了起來,英特爾、三星和臺(tái)積電都能為其提供優(yōu)異的工藝解決方案。尤其是英特爾近年來拼了
    的頭像 發(fā)表于 01-23 00:19 ?2798次閱讀

    英特爾深度解析其芯片技術(shù)創(chuàng)新,引領(lǐng)全球芯片科技潮流

    眾所周知,晶體管微縮和背面供電是英特爾滿足快速增長(zhǎng)的算力市場(chǎng)需求的關(guān)鍵所在。雖然面臨著困境和挑戰(zhàn),例如成本壓力,但英特爾堅(jiān)定不移地推動(dòng)著自己的發(fā)展計(jì)劃,使自身在滿足此類市場(chǎng)需求時(shí)處于領(lǐng)先地位
    的頭像 發(fā)表于 12-12 15:00 ?608次閱讀

    2025年英特爾的先進(jìn)芯片封裝產(chǎn)能將擴(kuò)大四倍

    英特爾公司的目標(biāo)是到2025年將最先進(jìn)芯片封裝服務(wù)的產(chǎn)能提高到目前的四倍,這包括了一座在馬來西亞新建工廠的產(chǎn)能。作為美國最大的芯片制造商,英特爾正在一步一步地奪回半導(dǎo)體制造領(lǐng)域的全球領(lǐng)
    發(fā)表于 11-21 15:34 ?486次閱讀

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋果、英特爾芯片王朝

    高通英特爾蘋果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07