0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

英特爾采用Super MIM優(yōu)化技術(shù)推出晶體管技術(shù)SuperFin

lhl545545 ? 來(lái)源:C114通信網(wǎng) ? 作者:C114通信網(wǎng) ? 2020-08-27 11:14 ? 次閱讀

近期,英特爾舉辦了“架構(gòu)日”活動(dòng),發(fā)布了一系列重磅技術(shù)。在這次“架構(gòu)日”的活動(dòng)中,英特爾六大技術(shù)支柱推出全面、實(shí)質(zhì)性的新進(jìn)展,為英特爾構(gòu)造產(chǎn)業(yè)最具領(lǐng)導(dǎo)力的產(chǎn)品再添“利器”。

英特爾六大技術(shù)支柱指的是制程&封裝、架構(gòu)、內(nèi)存&存儲(chǔ)、互連、安全和軟件,依靠這六大技術(shù)支柱,英特爾的技術(shù)更具靈活性,并且能夠快速為客戶(hù)提供具備領(lǐng)導(dǎo)力的產(chǎn)品。英特爾中國(guó)研究院院長(zhǎng)宋繼強(qiáng)在近期接受媒體的采訪中表示:“在目前的環(huán)境下,產(chǎn)品種類(lèi)眾多,有云、邊緣計(jì)算、各類(lèi)智能設(shè)備,并且要求快速給出方案,因此英特爾要依靠多個(gè)領(lǐng)域的技術(shù),即我們的六大技術(shù)支柱,組合起來(lái)形成產(chǎn)品的領(lǐng)導(dǎo)力,并能夠快速達(dá)到客戶(hù)需要的性能要求,增強(qiáng)客戶(hù)對(duì)我們的信心?!?/p>

圖注:英特爾六大技術(shù)支柱

對(duì)于英特爾來(lái)說(shuō),能夠?yàn)榭蛻?hù)快速提供具備領(lǐng)導(dǎo)力的產(chǎn)品是終極目標(biāo),而六大技術(shù)支柱是實(shí)現(xiàn)這樣目標(biāo)的重要“根基”。在六大技術(shù)支柱中,每一個(gè)支柱都很關(guān)鍵,所發(fā)揮的作用都無(wú)可取代。

制程是基礎(chǔ),封裝在“異軍突起”

制程工藝是非常重要的基礎(chǔ)。在今年“架構(gòu)日”上,英特爾推出了創(chuàng)新的晶體管技術(shù)SuperFin。這項(xiàng)技術(shù)擁有行業(yè)顛覆意義,英特爾在底層晶體管設(shè)計(jì)上做了優(yōu)化,降低了電阻,提高了電流,同時(shí)在電容層級(jí)采用了Super MIM的大幅優(yōu)化技術(shù),電容量提高了5倍,同時(shí)降低了壓降。

與上一代10納米相比,SuperFin所帶來(lái)的性能提升超越了15%。 宋繼強(qiáng)表示:“我們14納米節(jié)點(diǎn),每一次性能提升是5%左右,SuperFin所帶來(lái)的性能提升在以前是可以作為一次跨越節(jié)點(diǎn)提升的?!?/p>

封裝技術(shù)也在“異軍突起”,英特爾在封裝領(lǐng)域有多種維度的先進(jìn)封裝技術(shù),并且處于業(yè)界領(lǐng)先地位。英特爾有標(biāo)準(zhǔn)封裝、2.5D的EMIB、3D的Foveros以及在今年“架構(gòu)日”上推出的Hybrid Bonding(混合結(jié)合)技術(shù),可以把凸點(diǎn)間距降到10微米以下,帶來(lái)更高的互連密度、帶寬和更低的功率。這些封裝技術(shù)還可以相互疊加,疊加后能夠帶來(lái)更大的擴(kuò)展性和靈活性。例如,Co-EMIB技術(shù)就是把2.5D的EMIB技術(shù)和3D的Foveros封裝技術(shù)進(jìn)行整合。

“封裝技術(shù)的發(fā)展就像我們蓋房子,一開(kāi)始蓋的是茅廬單間,然后蓋成四合院,最后到高樓大廈。以Foveros 3D來(lái)說(shuō),它所實(shí)現(xiàn)的就是在建高樓的時(shí)候能夠讓線(xiàn)路以低功率同時(shí)高速率地進(jìn)行傳輸,” 宋繼強(qiáng)表示?!坝⑻貭栐诜庋b技術(shù)持續(xù)投資,因?yàn)樗膬?yōu)勢(shì)在于我們可以更早地知道,未來(lái)這個(gè)房子會(huì)怎么搭,也就是說(shuō)可以更好地對(duì)未來(lái)芯片進(jìn)行設(shè)計(jì)?!?/p>

面向未來(lái)異構(gòu)計(jì)算的大趨勢(shì),英特爾在今年的“架構(gòu)日”上推出了“分解設(shè)計(jì)”策略,這是一種結(jié)合新的設(shè)計(jì)方法,如晶片分解,以及先進(jìn)的封裝技術(shù),將關(guān)鍵的架構(gòu)組件拆分為仍在統(tǒng)一封裝中單獨(dú)晶片的解決方案。宋繼強(qiáng)表示,分解設(shè)計(jì)就是把原先的整個(gè)SoC芯片由大變小,“化整為零”,先把它做成幾個(gè)大的部分,比如CPU、GPU、I/O,再將SoC的細(xì)粒度進(jìn)一步提升,將以前按照功能性來(lái)組合的思路,轉(zhuǎn)變?yōu)榘凑站琁P來(lái)進(jìn)行組合。這些分解開(kāi)的小部件整合起來(lái)之后,速度快、帶寬足,同時(shí)還能實(shí)現(xiàn)低功耗,有很大的靈活性,將成為英特爾的一大差異性?xún)?yōu)勢(shì)。

相對(duì)于以前的芯片整體設(shè)計(jì)思路,分解設(shè)計(jì)的好處在于,不僅能夠提升芯片設(shè)計(jì)的效率、降低產(chǎn)品化的時(shí)間,并且能夠有效減少此前復(fù)雜設(shè)計(jì)所帶來(lái)的Bug數(shù)量?!霸瓉?lái)一定要放到一個(gè)晶片上做的方案,現(xiàn)在可以轉(zhuǎn)換成多晶片來(lái)做。另外,不僅可以利用英特爾的多節(jié)點(diǎn)制程工藝,也可以利用合作伙伴的工藝,”宋繼強(qiáng)解釋?!斑@樣可以給客戶(hù)更多選項(xiàng),在每個(gè)選項(xiàng)下面可以選擇最好的不同部件的組合,不管是to C還是to B的需求,都可以快速開(kāi)發(fā)多種不同產(chǎn)品方案給客戶(hù),而不是說(shuō)芯片都必須要在單一節(jié)點(diǎn)內(nèi)實(shí)現(xiàn)?!?/p>

XPU架構(gòu) & oneAPI軟件,真正釋放硬件潛能

在“萬(wàn)物智能化”的時(shí)代下,數(shù)據(jù)量呈指數(shù)級(jí)增長(zhǎng),我們有大量的數(shù)據(jù)和處理需求,有的要實(shí)時(shí),有的要稀疏,有的要并行,有的需要矩陣,所以說(shuō)一個(gè)架構(gòu)“包打天下”的時(shí)代已經(jīng)過(guò)去,應(yīng)對(duì)不同的數(shù)據(jù)需要采用不同種類(lèi)的芯片架構(gòu),因此英特爾提出了XPU架構(gòu),這個(gè)“X”指的是至少會(huì)包含CPU、GPU、專(zhuān)用加速器以及FPGA的混合架構(gòu),從而處理部署的標(biāo)量、矢量、矩陣和空間架構(gòu)數(shù)據(jù)。

英特爾的GPU架構(gòu)也迎來(lái)重大更新,全新的Xe架構(gòu)最大的特點(diǎn)是高度可擴(kuò)展。它同時(shí)擁有性能向上增長(zhǎng)(Scale Up)以及向外拓展(Scale Out)的能力。性能向上增長(zhǎng)指的是單個(gè)GPU構(gòu)造組件區(qū)塊(Tile)性能能夠提升,內(nèi)部也有多個(gè)EU執(zhí)行單元。向外拓展指的是可以構(gòu)建多個(gè)區(qū)塊(Tile),并根據(jù)不同的任務(wù)規(guī)模去組合,在架構(gòu)上充分體現(xiàn)了靈活性,以及可以在未來(lái)增加一些新的加速部件。

宋繼強(qiáng)認(rèn)為,要真正獲得硬件異構(gòu)之后的超級(jí)性能提升,沒(méi)有好的軟件是不行的。如果軟件能夠根據(jù)不同領(lǐng)域的工作負(fù)載進(jìn)行優(yōu)化,性能提升可以高達(dá)十倍甚至是百倍,而英特爾oneAPI就擔(dān)負(fù)了這樣艱巨的重任。作為跨XPU架構(gòu)統(tǒng)一編程模型,oneAPI是一個(gè)開(kāi)放的產(chǎn)業(yè)聯(lián)盟,它包含工具鏈、性能庫(kù)、編譯器、調(diào)試、編程、程序移植等,可以幫助開(kāi)發(fā)人員有效減少跨架構(gòu)程序開(kāi)發(fā)時(shí)間和成本。

“架構(gòu)和軟件,這兩個(gè)是要搭配的,架構(gòu)要體現(xiàn)出不同的架構(gòu)都能玩的轉(zhuǎn),同時(shí)做出來(lái)的硬件還要能讓別人用軟件快速使用。如果新的架構(gòu)出來(lái),沒(méi)有一個(gè)很好的軟件能夠把它生態(tài)化,那就還是起不來(lái),” 宋繼強(qiáng)表示。“所以除了要有很好的架構(gòu)掌控能力,還需要軟件能夠把這些好處暴露出來(lái),XPU & oneAPI未來(lái)會(huì)成為英特爾突出的特點(diǎn)。”

再“乘以”內(nèi)存和存儲(chǔ)、互連和安全,英特爾綜合實(shí)力爆棚

同時(shí),作為計(jì)算不可或缺的部分,內(nèi)存和存儲(chǔ),互連以及安全技術(shù)也是英特爾“六大技術(shù)支柱”的重要組成部分。

根據(jù)宋繼強(qiáng)的介紹,在原來(lái)三級(jí)存儲(chǔ)模式中,每一級(jí)之間的速度差是百倍,容量差別也是百倍到千倍,因此在高性能計(jì)算中,會(huì)造成很大的性能損失,因此要填補(bǔ)這個(gè)差距,通過(guò)內(nèi)存和存儲(chǔ)技術(shù)提升計(jì)算性能。英特爾最新發(fā)布的3D NAND已經(jīng)可以達(dá)到144層,當(dāng)內(nèi)存使用的XPoint也從之前的2-Deck增長(zhǎng)到了4-Deck,屬于國(guó)際領(lǐng)先的技術(shù)。

“互連”技術(shù)也是重要的技術(shù)之一。因?yàn)橐巡煌脑O(shè)備連在一起,把不同的芯片連在一起,連接距離小到微米級(jí),大到公里級(jí),甚至是數(shù)百公里級(jí),在客戶(hù)端產(chǎn)品以及數(shù)據(jù)中心產(chǎn)品都會(huì)涉及。英特爾最新的互連技術(shù)在提升帶寬的同時(shí),還能夠減輕體積和降低功耗。在安全方面,英特爾的控制流強(qiáng)制技術(shù)(CET)為計(jì)算帶了更好地安全保護(hù),避免通過(guò)控制流返回跳轉(zhuǎn)攻擊軟件漏洞。

“當(dāng)我們把這些技術(shù)全部乘在一起的時(shí)候,英特爾就構(gòu)建出一個(gè)以XPU為上層架構(gòu),中間以各種級(jí)別的內(nèi)存作為支撐,底部是從云到端的完整產(chǎn)品布局,從而產(chǎn)生各種各樣快速創(chuàng)新的能力,并且能夠和產(chǎn)業(yè)界分享,” 宋繼強(qiáng)表示。

總結(jié)來(lái)看,英特爾六大技術(shù)支柱包含的內(nèi)容涉及到計(jì)算的各個(gè)方面,所帶來(lái)的綜合實(shí)力在業(yè)界內(nèi)獨(dú)樹(shù)一幟,具有其他廠商不可比擬的優(yōu)勢(shì)。在制程工藝逼近極限之際,未來(lái)半導(dǎo)體行業(yè)的比拼一定是綜合實(shí)力的比拼,制勝的關(guān)鍵點(diǎn)就在于能否為客戶(hù)又快又好地提供產(chǎn)品,解決在數(shù)據(jù)大爆發(fā)的現(xiàn)狀下,能否實(shí)現(xiàn)指數(shù)級(jí)增長(zhǎng)的挑戰(zhàn)。英特爾的六大技術(shù)支柱已經(jīng)打下了非常堅(jiān)實(shí)的基礎(chǔ),必將助力英特爾繼續(xù)行穩(wěn)致遠(yuǎn)。
責(zé)任編輯:pj

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417201
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9749

    瀏覽量

    170664
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9502

    瀏覽量

    136942
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    技術(shù)前沿:“環(huán)抱”晶體管與“三明治”布線(xiàn)

    晶體管和PowerVia背面供電技術(shù)。這兩項(xiàng)技術(shù)首次成功集成于Intel 20A制程節(jié)點(diǎn),也將用于Intel 18A。 RibbonFET:柵極“環(huán)抱”晶體管 通過(guò)RibbonFET
    的頭像 發(fā)表于 09-11 17:57 ?205次閱讀
    <b class='flag-5'>技術(shù)</b>前沿:“環(huán)抱”<b class='flag-5'>晶體管</b>與“三明治”布線(xiàn)

    英特爾是如何實(shí)現(xiàn)玻璃基板的?

    在今年9月,英特爾宣布率先推出用于下一代先進(jìn)封裝的玻璃基板,并計(jì)劃在未來(lái)幾年內(nèi)向市場(chǎng)提供完整的解決方案,從而使單個(gè)封裝內(nèi)的晶體管數(shù)量不斷增加,繼續(xù)推動(dòng)摩爾定律,滿(mǎn)足以數(shù)據(jù)為中心的應(yīng)用的算力需求
    的頭像 發(fā)表于 07-22 16:37 ?214次閱讀

    英特爾推進(jìn)面向未來(lái)節(jié)點(diǎn)的技術(shù)創(chuàng)新,在2025年后鞏固制程領(lǐng)先性

    18A兩個(gè)節(jié)點(diǎn),將繼續(xù)采用EUV技術(shù),并應(yīng)用RibbonFET全環(huán)繞柵極晶體管和PowerVia背面供電技術(shù),助力英特爾于2025年重奪制
    的頭像 發(fā)表于 05-16 15:38 ?285次閱讀

    Ansys多物理場(chǎng)簽核解決方案獲得英特爾代工認(rèn)證

    Ansys的多物理場(chǎng)簽核解決方案已經(jīng)成功獲得英特爾代工(Intel Foundry)的認(rèn)證,這一認(rèn)證使得Ansys能夠支持對(duì)采用英特爾18A工藝技術(shù)設(shè)計(jì)的先進(jìn)集成電路(IC)進(jìn)行簽核驗(yàn)
    的頭像 發(fā)表于 03-11 11:25 ?549次閱讀

    借助英特爾DLB技術(shù)優(yōu)化網(wǎng)絡(luò)性能

    英特爾? DLB技術(shù)的出現(xiàn),無(wú)疑為數(shù)據(jù)處理和網(wǎng)絡(luò)傳輸領(lǐng)域帶來(lái)了一場(chǎng)革命性的變革。通過(guò)其獨(dú)特的負(fù)載均衡、數(shù)據(jù)包調(diào)度優(yōu)先排序以及降低網(wǎng)絡(luò)流量時(shí)延的能力,英特爾? DLB顯著提升了高數(shù)據(jù)包速率應(yīng)用的性能,為各行各業(yè)注入了新的活力。
    的頭像 發(fā)表于 03-11 09:52 ?473次閱讀

    英特爾押注18A制程,力爭(zhēng)重回技術(shù)領(lǐng)先地位

    據(jù)悉,18A 制程是英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱(chēng)其性能與晶體管密度媲美友商的 1.8 nm
    的頭像 發(fā)表于 02-29 15:13 ?543次閱讀

    英特爾3D封裝工藝進(jìn)入量產(chǎn),集成萬(wàn)億晶體管

    眾所周知,整個(gè)半導(dǎo)體領(lǐng)域正邁進(jìn)一個(gè)同時(shí)整合多個(gè)‘芯?!–hiplets,也被稱(chēng)為‘小芯片’)在同一封裝中的多元時(shí)代?;诖?,英特爾的 Foveros 及新型 EMIB(嵌入式多芯片互連橋接)等高級(jí)封裝解決方案被譽(yù)為能將一萬(wàn)億個(gè)晶體管融于單一封裝之內(nèi)
    的頭像 發(fā)表于 01-26 09:44 ?455次閱讀

    英特爾:2030年前實(shí)現(xiàn)單個(gè)封裝內(nèi)集成1萬(wàn)億個(gè)晶體管

    12月9日,英特爾在IEDM 2023(2023 IEEE 國(guó)際電子器件會(huì)議)上展示了使用背面電源觸點(diǎn)將晶體管縮小到1納米及以上范圍的關(guān)鍵技術(shù)。英特爾表示將在2030年前實(shí)現(xiàn)在單個(gè)封裝
    的頭像 發(fā)表于 12-28 13:58 ?606次閱讀

    英特爾CEO基辛格:摩爾定律放緩,仍能制造萬(wàn)億晶體

    帕特·基辛格進(jìn)一步預(yù)測(cè),盡管摩爾定律顯著放緩,到2030年英特爾依然可以生產(chǎn)出包含1萬(wàn)億個(gè)晶體管的芯片。這將主要依靠新 RibbonFET晶體管、PowerVIA電源傳輸、下一代工藝節(jié)點(diǎn)以及3D芯片堆疊等
    的頭像 發(fā)表于 12-26 15:07 ?546次閱讀

    英特爾發(fā)力具有集成驅(qū)動(dòng)器的氮化鎵GaN器件

    在最近的IEDM大會(huì)上,英特爾表示,已將 CMOS 硅晶體管與氮化鎵 (GaN) 功率晶體管集成,用于高度集成的48V設(shè)備。
    的頭像 發(fā)表于 12-14 09:23 ?993次閱讀
    <b class='flag-5'>英特爾</b>發(fā)力具有集成驅(qū)動(dòng)器的氮化鎵GaN器件

    英特爾深度解析其芯片技術(shù)創(chuàng)新,引領(lǐng)全球芯片科技潮流

    眾所周知,晶體管微縮和背面供電是英特爾滿(mǎn)足快速增長(zhǎng)的算力市場(chǎng)需求的關(guān)鍵所在。雖然面臨著困境和挑戰(zhàn),例如成本壓力,但英特爾堅(jiān)定不移地推動(dòng)著自己的發(fā)展計(jì)劃,使自身在滿(mǎn)足此類(lèi)市場(chǎng)需求時(shí)處于領(lǐng)先地位。
    的頭像 發(fā)表于 12-12 15:00 ?613次閱讀

    英特爾展示下一代晶體管微縮技術(shù)突破,將用于未來(lái)制程節(jié)點(diǎn)

    在IEDM 2023上,英特爾展示了結(jié)合背面供電和直接背面觸點(diǎn)的3D堆疊CMOS晶體管,這些開(kāi)創(chuàng)性的技術(shù)進(jìn)展將繼續(xù)推進(jìn)摩爾定律。
    的頭像 發(fā)表于 12-11 16:31 ?530次閱讀

    英特爾宣布完成PowerVia背面供電技術(shù)的開(kāi)發(fā)

    英特爾在2023年國(guó)際電子設(shè)備制造大會(huì)上宣布,他們已經(jīng)成功完成了一項(xiàng)名為PowerVia的背面供電技術(shù)的開(kāi)發(fā)。這個(gè)技術(shù)是基于英特爾的最新晶體管
    的頭像 發(fā)表于 12-11 16:10 ?741次閱讀
    <b class='flag-5'>英特爾</b>宣布完成PowerVia背面供電<b class='flag-5'>技術(shù)</b>的開(kāi)發(fā)

    英特爾:玻璃基板將推動(dòng)算力提升

    ? ? ? ?在今年9月,英特爾宣布率先推出用于下一代先進(jìn)封裝的玻璃基板,并計(jì)劃在未來(lái)幾年內(nèi)向市場(chǎng)提供完整的解決方案,從而使單個(gè)封裝內(nèi)的晶體管數(shù)量不斷增加,繼續(xù)推動(dòng)摩爾定律,滿(mǎn)足以數(shù)據(jù)為中心
    的頭像 發(fā)表于 12-06 09:31 ?362次閱讀

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋(píng)果、英特爾的芯片王朝

    高通英特爾蘋(píng)果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07