0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

計(jì)數(shù)器函數(shù)S_CU的操作步驟

機(jī)器人及PLC自動(dòng)化應(yīng)用 ? 來(lái)源:CSDN博客 ? 作者:CSDN博客 ? 2020-09-03 14:00 ? 次閱讀

可使用“分配參數(shù)并加計(jì)數(shù)”指令遞增計(jì)數(shù)器值。當(dāng) CU 參數(shù)的信號(hào)狀態(tài)從“0”變?yōu)椤?”(信號(hào)上升沿)時(shí),當(dāng)前計(jì)數(shù)器值遞增 1。通過(guò)參數(shù) CV 提供當(dāng)前計(jì)數(shù)器值。計(jì)數(shù)器值達(dá)到上限 999 后,停止增加。如果達(dá)到限值,即使出現(xiàn)信號(hào)上升沿,計(jì)數(shù)器值也不再遞增。

當(dāng)參數(shù) S 的信號(hào)狀態(tài)從“0”變?yōu)椤?”時(shí),計(jì)數(shù)器值將置位為參數(shù) PV 的值。如果置位計(jì)數(shù)器且輸入 CU 處的邏輯運(yùn)算結(jié)果 (RLO) 為“1”,即使沒(méi)有檢測(cè)到信號(hào)邊沿變化,計(jì)數(shù)器也會(huì)在下一周期計(jì)數(shù)一次。

當(dāng) R 參數(shù)的信號(hào)狀態(tài)變?yōu)椤?”時(shí),計(jì)數(shù)器值將設(shè)置為 0。只要 R 參數(shù)的信號(hào)狀態(tài)為“1”,參數(shù) CU 和 S 的信號(hào)狀態(tài)變化就不會(huì)影響計(jì)數(shù)值。

如果計(jì)數(shù)器值大于 0,參數(shù) Q 的信號(hào)狀態(tài)就為“1”。計(jì)數(shù)器值等于 0 時(shí),參數(shù) Q 將返回信號(hào)狀態(tài)“0”。

當(dāng)調(diào)用函數(shù)時(shí),必須提供標(biāo)識(shí)符C_NO參數(shù)。代之以絕對(duì)計(jì)數(shù)器號(hào)(如C12),也能夠在調(diào)用中指定一個(gè)INT類型的變量或常數(shù),或一個(gè)COUNTER類型的輸入?yún)?shù)。

至少參數(shù)CU(向上計(jì)數(shù))或參數(shù)CD(向下計(jì)數(shù))必須提供。

參數(shù)PV(初始值)和S(設(shè)定值)能夠成對(duì)省略。

函數(shù)值總是BCD格式結(jié)果值。

加計(jì)數(shù)例子: 作一個(gè)功能塊,條件FRG滿足后開(kāi)始計(jì)數(shù)IN_Z,rest對(duì)計(jì)數(shù)器復(fù)位,

超過(guò)ZN 個(gè)數(shù)有輸出OUT_FRG

FUNCTION_BLOCK FB1 建立功能塊FB1

VAR_INPUT

FRG:BOOL;允許條件

IN_Z:BOOL;用于計(jì)數(shù)

rest:BOOL;

CurrVal_int:INT; 改變數(shù)量

END_VAR

VAR_OUTPUT

OUT_FRG :BOOL;

CurrVal_int1:INT;

END_VAR

VAR_TEMP

set:BOOL;臨時(shí)變量-中間變量

set1:BOOL;

END_VAR

VAR

CurrVal_int:INT; 靜態(tài)變量-中間變量

CurrVal, binVal: WORD;

actFlag : bool;

END_VAR

set1:=FRG AND IN_Z; 計(jì)數(shù)條件

CurrVal :=S_CU (C_NO:= Z11, CU:= set1,S:=set, PV:=16#0, R:=rest,

CV:=binVal,Q:=actFlag);計(jì)數(shù)器從0開(kāi)始計(jì)數(shù)

CurrVal_int:=WORD_TO_INT(CurrVal); word轉(zhuǎn)INT的轉(zhuǎn)換

CurrVal_int1:=CurrVal_int; 用于顯示

IF (CurrVal_int >= Z_N) THEN 如果大于10就有輸出

OUT_FRG:=true;

ELSE 小于10沒(méi)有輸出

OUT_FRG:=false;

END_IF ;

END_FUNCTION_BLOCK-------功能塊結(jié)束

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2241

    瀏覽量

    93969
  • SCL
    SCL
    +關(guān)注

    關(guān)注

    1

    文章

    239

    瀏覽量

    16985

原文標(biāo)題:SCL 語(yǔ)言之加計(jì)數(shù)-計(jì)數(shù)器函數(shù)S_CU

文章出處:【微信號(hào):gh_a8b121171b08,微信公眾號(hào):機(jī)器人及PLC自動(dòng)化應(yīng)用】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    環(huán)形計(jì)數(shù)器和扭環(huán)形計(jì)數(shù)器

    環(huán)形計(jì)數(shù)器和扭環(huán)形計(jì)數(shù)器 移位寄存也可以構(gòu)成計(jì)數(shù)器,稱為移位型計(jì)數(shù)器。它有兩種結(jié)構(gòu):環(huán)形計(jì)數(shù)器
    發(fā)表于 01-12 14:07 ?9502次閱讀

    模5計(jì)數(shù)器設(shè)計(jì)步驟及程序解析

    本文為大家介紹模5計(jì)數(shù)器設(shè)計(jì)步驟及程序解析。
    發(fā)表于 01-02 14:45 ?2.6w次閱讀
    模5<b class='flag-5'>計(jì)數(shù)器</b>設(shè)計(jì)<b class='flag-5'>步驟</b>及程序解析

    MOD計(jì)數(shù)器和時(shí)序圖

    計(jì)數(shù)器的工作是通過(guò)每個(gè)時(shí)鐘脈沖將計(jì)數(shù)器的內(nèi)容提前一個(gè)計(jì)數(shù)來(lái)計(jì)數(shù)。當(dāng)被時(shí)鐘輸入激活時(shí)推進(jìn)其數(shù)字或狀態(tài)序列的計(jì)數(shù)器被稱為以“遞增
    的頭像 發(fā)表于 06-23 07:47 ?1.4w次閱讀
    MOD<b class='flag-5'>計(jì)數(shù)器</b>和時(shí)序圖

    具有函數(shù)信號(hào)發(fā)生計(jì)數(shù)器的功能儀器的設(shè)計(jì)與實(shí)現(xiàn)

    工廠計(jì)量部門、科研院所、大學(xué)物理實(shí)驗(yàn)室使用函數(shù)信號(hào)發(fā)生計(jì)數(shù)器計(jì)量、維修、實(shí)驗(yàn)和教學(xué),但大多是把函數(shù)信號(hào)發(fā)生
    發(fā)表于 08-21 09:37 ?914次閱讀
    具有<b class='flag-5'>函數(shù)</b>信號(hào)發(fā)生<b class='flag-5'>器</b>和<b class='flag-5'>計(jì)數(shù)器</b>的功能儀器的設(shè)計(jì)與實(shí)現(xiàn)

    SCL語(yǔ)言之加計(jì)數(shù)-計(jì)數(shù)器函數(shù)S_CU

    當(dāng)參數(shù) S 的信號(hào)狀態(tài)從“0”變?yōu)椤?”時(shí),計(jì)數(shù)器值將置位為參數(shù) PV 的值。如果置位計(jì)數(shù)器且輸入 CU 處的邏輯運(yùn)算結(jié)果 (RLO) 為“1”,即使沒(méi)有檢測(cè)到信號(hào)邊沿變化,
    的頭像 發(fā)表于 09-04 17:09 ?3887次閱讀
    SCL語(yǔ)言之加<b class='flag-5'>計(jì)數(shù)</b>-<b class='flag-5'>計(jì)數(shù)器</b><b class='flag-5'>函數(shù)</b><b class='flag-5'>S_CU</b>

    計(jì)數(shù)器函數(shù)S_CU詳解

    限值,即使出現(xiàn)信號(hào)上升沿,計(jì)數(shù)器值也不再遞增。 當(dāng)參數(shù) S 的信號(hào)狀態(tài)從0變?yōu)?時(shí),計(jì)數(shù)器值將置位為參數(shù) PV 的值。如果置位計(jì)數(shù)器且輸入 CU
    的頭像 發(fā)表于 10-14 16:02 ?8734次閱讀
    <b class='flag-5'>計(jì)數(shù)器</b><b class='flag-5'>函數(shù)</b><b class='flag-5'>S_CU</b>詳解

    PLC編程中計(jì)數(shù)器的存儲(chǔ)區(qū)介紹

    在生產(chǎn)過(guò)程中,經(jīng)常需要記錄現(xiàn)場(chǎng)發(fā)生的次數(shù),并據(jù)此發(fā)出控制命令,計(jì)數(shù)器就是為完成這一功能而開(kāi)發(fā)的。 S7 CPU為計(jì)數(shù)器預(yù)留了一個(gè)計(jì)數(shù)器存儲(chǔ)區(qū)。每個(gè)計(jì)
    發(fā)表于 12-21 16:27 ?3043次閱讀

    使用分配參數(shù)并加計(jì)數(shù)指令遞增計(jì)數(shù)器

    可使用“分配參數(shù)并加計(jì)數(shù)”指令遞增計(jì)數(shù)器值。當(dāng) CU 參數(shù)的信號(hào)狀態(tài)從“0”變?yōu)椤?”(信號(hào)上升沿)時(shí),當(dāng)前計(jì)數(shù)器值遞增 1。通過(guò)參數(shù) CV 提供當(dāng)前
    的頭像 發(fā)表于 08-03 11:08 ?1478次閱讀
    使用分配參數(shù)并加<b class='flag-5'>計(jì)數(shù)</b>指令遞增<b class='flag-5'>計(jì)數(shù)器</b>值

    SCL語(yǔ)言之加計(jì)數(shù)-計(jì)數(shù)器函數(shù)S_CU

    可使用“分配參數(shù)并加計(jì)數(shù)”指令遞增計(jì)數(shù)器值。當(dāng) CU 參數(shù)的信號(hào)狀態(tài)從“0”變?yōu)椤?”(信號(hào)上升沿)時(shí),當(dāng)前計(jì)數(shù)器值遞增 1。通過(guò)參數(shù) CV 提供當(dāng)前
    的頭像 發(fā)表于 01-03 11:02 ?1443次閱讀

    S7-1200的計(jì)數(shù)器包含3種計(jì)數(shù)器的介紹

    S7-1200的計(jì)數(shù)器為IEC計(jì)數(shù)器,用戶程序中可以使用的計(jì)數(shù)器數(shù)量?jī)H受CPU的存儲(chǔ)容量限制。
    發(fā)表于 01-29 09:31 ?8550次閱讀

    同步計(jì)數(shù)器和異步計(jì)數(shù)器是什么 同步計(jì)數(shù)器和異步計(jì)數(shù)器的主要區(qū)別?

    在數(shù)字電子產(chǎn)品中,計(jì)數(shù)器是由一系列觸發(fā)組成的時(shí)序邏輯電路。顧名思義,計(jì)數(shù)器用于計(jì)算輸入在負(fù)或正邊沿轉(zhuǎn)換中出現(xiàn)的次數(shù)。根據(jù)觸發(fā)觸發(fā)的方式,計(jì)數(shù)器
    的頭像 發(fā)表于 03-25 17:31 ?2.4w次閱讀
    同步<b class='flag-5'>計(jì)數(shù)器</b>和異步<b class='flag-5'>計(jì)數(shù)器</b>是什么 同步<b class='flag-5'>計(jì)數(shù)器</b>和異步<b class='flag-5'>計(jì)數(shù)器</b>的主要區(qū)別?

    SIMATIC S7-1500 PLC SIMATIC加計(jì)數(shù)器

    計(jì)數(shù)器的功能是完成計(jì)數(shù)功能,可以實(shí)現(xiàn)加法計(jì)數(shù)和減法計(jì)數(shù)計(jì)數(shù)范圍是0~999.計(jì)數(shù)器有三種類型:
    的頭像 發(fā)表于 04-27 15:38 ?1315次閱讀
    SIMATIC <b class='flag-5'>S</b>7-1500 PLC SIMATIC加<b class='flag-5'>計(jì)數(shù)器</b>

    SIMATIC S7-1500 PLC SIMATIC計(jì)數(shù)器-加計(jì)數(shù)器

    計(jì)數(shù)器(S_CU)在計(jì)數(shù)初始值預(yù)置輸入端S上有上升沿時(shí),PV裝入預(yù)置值,輸入端CU每檢測(cè)到一次上升沿,當(dāng)前
    的頭像 發(fā)表于 04-27 15:38 ?2535次閱讀
    SIMATIC <b class='flag-5'>S</b>7-1500 PLC SIMATIC<b class='flag-5'>計(jì)數(shù)器</b>-加<b class='flag-5'>計(jì)數(shù)器</b>

    SIMATIC S7-1500 PLC加減計(jì)數(shù)器簡(jiǎn)述

    計(jì)數(shù)器的功能是完成計(jì)數(shù)功能,可以實(shí)現(xiàn)加法計(jì)數(shù)和減法計(jì)數(shù)計(jì)數(shù)范圍是0~999.計(jì)數(shù)器有三種類型:
    的頭像 發(fā)表于 05-04 09:59 ?6358次閱讀
    SIMATIC <b class='flag-5'>S</b>7-1500 PLC加減<b class='flag-5'>計(jì)數(shù)器</b>簡(jiǎn)述

    PLC計(jì)數(shù)器應(yīng)用簡(jiǎn)介

    基本指令里面找到計(jì)數(shù)器操作,點(diǎn)擊加計(jì)數(shù)
    的頭像 發(fā)表于 11-08 17:22 ?1729次閱讀
    PLC<b class='flag-5'>計(jì)數(shù)器</b>應(yīng)用簡(jiǎn)介