0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

基于Altera DE0開(kāi)發(fā)板的超聲波測(cè)距模塊設(shè)計(jì)

西西 ? 來(lái)源:博客園 ? 作者:法師漂流 ? 2020-09-07 16:20 ? 次閱讀

簡(jiǎn)單介紹一下:

軟件開(kāi)發(fā)平臺(tái):quartus ii13.1,modelsim10.2,notepad++

硬件開(kāi)發(fā)平臺(tái):Altera DE0開(kāi)發(fā)板

使用模塊:HY-SRF05超聲波測(cè)距模塊,YL-40模塊(PCF8591芯片),PS2鍵盤(pán),VGA顯示器

系統(tǒng)設(shè)計(jì)內(nèi)容:

1)采用數(shù)碼管循環(huán)顯示2個(gè)人的學(xué)號(hào)后四位;

2)根據(jù)標(biāo)準(zhǔn)鍵盤(pán)輸入不同,分別輸出正弦波、方波、三角波,根據(jù)標(biāo)準(zhǔn)鍵盤(pán)改變頻率,并在VGA顯示屏上顯示。

3)根據(jù)標(biāo)準(zhǔn)鍵盤(pán)輸入不同,分別利用PCF8591模塊測(cè)量當(dāng)前電位器分壓值,當(dāng)前環(huán)境溫度與光照強(qiáng)度,并在VGA顯示屏上顯示。三種物理量測(cè)量模式可以根據(jù)標(biāo)準(zhǔn)鍵盤(pán)輸入切換。

4)根據(jù)標(biāo)準(zhǔn)鍵盤(pán)輸入,利用超聲波測(cè)距模塊測(cè)量距離,并在VGA顯示屏上顯示。

系統(tǒng)整體結(jié)構(gòu)圖:

PS2為鍵盤(pán)輸入模塊,DataProcess為功能實(shí)現(xiàn)主模塊,vga_module為VGA輸出模塊,clock_out與seg_out兩個(gè)小模塊共同構(gòu)成數(shù)碼管顯示學(xué)號(hào)的功能。

DataProcess模塊內(nèi)部模塊如圖:

其中I2C4In1模塊為PCF8591核心模塊,包含三種物理量的測(cè)量和波形發(fā)生器的全部核心設(shè)計(jì)。

SupersonicWave模塊為超聲波測(cè)距核心模塊,包含超聲波測(cè)距模塊的全部設(shè)計(jì)。

ToNum模塊為VGA顯示前置模塊,用于轉(zhuǎn)譯測(cè)量數(shù)據(jù)為VGA顯示可用的數(shù)字。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 電位器
    +關(guān)注

    關(guān)注

    14

    文章

    996

    瀏覽量

    66501
  • 超聲波
    +關(guān)注

    關(guān)注

    63

    文章

    2949

    瀏覽量

    137694
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    31

    文章

    1869

    瀏覽量

    90518
  • VGA
    VGA
    +關(guān)注

    關(guān)注

    5

    文章

    520

    瀏覽量

    62585
  • 開(kāi)發(fā)板
    +關(guān)注

    關(guān)注

    25

    文章

    4771

    瀏覽量

    96154
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    超聲波測(cè)距原理

    為此,文中嘗試以單片機(jī)AT89S52為核心,利用一對(duì)40 kHz壓電超聲傳感器設(shè)計(jì)一款體積較小、價(jià)格低廉、精度較高、具有溫度補(bǔ)償、實(shí)時(shí)LCD顯示和報(bào)警的超聲波測(cè)距儀。1 超聲波
    發(fā)表于 04-25 11:32

    Altera DE0 Nano FPGA 開(kāi)發(fā)板 二手 轉(zhuǎn)讓 出售

    Altera DE0 Nano FPGA 開(kāi)發(fā)板,買(mǎi)了半年了,想把它賣(mài)了換錢(qián),原價(jià)600元,打算賣(mài)400元,全套,淘寶方式付款,順豐包郵。有意者電話聯(lián)系吧,我只是在這兒發(fā)布一下消息,不會(huì)跟蹤這個(gè)帖子。131 8850 7113
    發(fā)表于 11-11 13:32

    【創(chuàng)龍TMS320C6748開(kāi)發(fā)板試用】基于創(chuàng)龍開(kāi)發(fā)板超聲測(cè)距模塊使用

    利用創(chuàng)龍開(kāi)發(fā)板上的串口1來(lái)做超聲測(cè)距。所采用的超聲模塊是JSN-SR04T,JSN-SR0T4
    發(fā)表于 12-23 18:42

    勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載73:基于SignalTap II的超聲波測(cè)距調(diào)試之超聲波測(cè)距原理

    `勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載73:基于SignalTap II的超聲波測(cè)距調(diào)試之超聲波測(cè)距原理特權(quán)同學(xué),版權(quán)所有配套例程和更
    發(fā)表于 05-28 22:09

    【精英STM32F103 開(kāi)發(fā)板試用體驗(yàn)】 超聲波測(cè)距功能的實(shí)現(xiàn)

    : 2cm~***m· 測(cè)量角度:  15度· 觸發(fā)信號(hào): 10us TTL· 回聲信號(hào): TTL高電平持續(xù)時(shí)間 超聲波測(cè)距模塊開(kāi)發(fā)板的連接關(guān)系為:trig--- PB2ecoh -
    發(fā)表于 10-25 09:33

    【STM32F103 開(kāi)發(fā)板超聲波測(cè)距功能的實(shí)現(xiàn)

    :***0Hz· 測(cè)距范圍: 2cm~***m· 測(cè)量角度:  15度· 觸發(fā)信號(hào): 10us TTL· 回聲信號(hào): TTL高電平持續(xù)時(shí)間 超聲波測(cè)距
    發(fā)表于 03-13 17:03

    【MiniStar FPGA開(kāi)發(fā)板】配套視頻教程——超聲波測(cè)距

    本視頻是MiniStar FPGA開(kāi)發(fā)板的配套視頻課程,課程內(nèi)容介紹超聲波測(cè)距模塊測(cè)距原理及利用FPGA實(shí)現(xiàn)對(duì)
    發(fā)表于 04-16 17:23

    超聲波測(cè)距原理

    1.超聲波測(cè)距原理超聲波是利用反射的原理測(cè)量距離的,被測(cè)距離一端為超聲波傳感器,另一端必須有能反射超聲波
    發(fā)表于 07-21 07:01

    利用超聲波測(cè)距來(lái)實(shí)現(xiàn)報(bào)警的功能

    需要做一個(gè)智能車(chē)庫(kù)控制系統(tǒng)的小項(xiàng)目,第一個(gè)要完成的就是利用超聲波測(cè)距來(lái)實(shí)現(xiàn)報(bào)警的功能。本人嵌入式初級(jí)學(xué)徒,以盡量簡(jiǎn)單詳細(xì)的方式,為大家介紹。設(shè)備1、超聲波測(cè)距使用的是HC-SR042、
    發(fā)表于 08-04 06:11

    超聲波測(cè)距模塊

    關(guān)于超聲波測(cè)距模塊的介紹 的的的的
    發(fā)表于 11-07 18:07 ?220次下載
    <b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b><b class='flag-5'>模塊</b>

    超聲波測(cè)距超聲測(cè)距傳感器工作程序圖文詳解

    超聲測(cè)距開(kāi)發(fā)板連接圖距離測(cè)量本實(shí)驗(yàn),通過(guò)測(cè)距程序完成超聲波發(fā)射的控制、超聲波回波信號(hào)的檢測(cè)和距離
    的頭像 發(fā)表于 12-01 14:48 ?7w次閱讀

    簡(jiǎn)單的超聲波測(cè)距模塊制作_HC-SR04超聲波測(cè)距模塊及制作圖詳解

    本文主要介紹的是HC-SR04超聲波測(cè)距模塊及制作圖詳解,首先介紹了超聲波測(cè)距原理及特點(diǎn),其次闡述了HC-SR04
    發(fā)表于 05-18 09:40 ?5.3w次閱讀
    簡(jiǎn)單的<b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b><b class='flag-5'>模塊</b>制作_HC-SR04<b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b><b class='flag-5'>模塊</b>及制作圖詳解

    STM32_超聲波測(cè)距

    超聲波測(cè)距超聲波測(cè)距原理超聲波模塊說(shuō)明書(shū)代碼解析超聲波測(cè)距
    發(fā)表于 11-25 10:51 ?165次下載
    STM32_<b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b>

    使用帶有Raspberry Pi的超聲波測(cè)距模塊構(gòu)建超聲波測(cè)距儀GUI

    電子發(fā)燒友網(wǎng)站提供《使用帶有Raspberry Pi的超聲波測(cè)距模塊構(gòu)建超聲波測(cè)距儀GUI.zip》資料免費(fèi)下載
    發(fā)表于 12-26 10:40 ?0次下載
    使用帶有Raspberry Pi的<b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b><b class='flag-5'>模塊</b>構(gòu)建<b class='flag-5'>超聲波</b><b class='flag-5'>測(cè)距</b>儀GUI

    RK3568開(kāi)發(fā)板外接超聲波傳感器測(cè)距模塊

    RK3568開(kāi)發(fā)板外接超聲波傳感器測(cè)距模塊-迅為電子
    的頭像 發(fā)表于 09-18 15:12 ?764次閱讀
    RK3568<b class='flag-5'>開(kāi)發(fā)板</b>外接<b class='flag-5'>超聲波</b>傳感器<b class='flag-5'>測(cè)距</b><b class='flag-5'>模塊</b>