0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何利用MicroBlaze實現(xiàn)大內(nèi)部存儲器設(shè)計

454398 ? 來源:博客園 ? 作者:博客園 ? 2020-09-29 11:29 ? 次閱讀

MicroBlaze可以使用AXI BRAM存放數(shù)據(jù)和指令。有些客戶軟件很大,需要把AXI BRAM的空間做到最大。AXI BRAM底層是Block RAM或者Ultra RAM。器件的Block RAM或者Ultra RAM個數(shù),決定了AXI BRAM的大小。

在ZCU106單板上,有312個Block RAM,有96個Ultra RAM??蛻粜枰?MB存儲空間。實現(xiàn)MicroBlaze時,也使用Block RAM實現(xiàn)Cache,和Local Memory。剩下的個Block RAM不夠做1MB的AXI BRAM。為了實現(xiàn)1MB空間,可以用Block RAM實現(xiàn)512KB AXI BRAM、256KB AXI BRAM;再使用Ultra RAM實現(xiàn)256KB AXI BRAM。為這三個AXI BRAM分配連續(xù)的地址空間,從而使軟件感覺到這是一個存儲器。其中,Block RAM和Ultra RAM還可以根據(jù)實際情況調(diào)整,比如用Block RAM實現(xiàn)512KB AXI BRAM;用Ultra RAM實現(xiàn)512KB AXI BRAM,兩個存儲器也可以實現(xiàn)1MB存儲空間。

Vivado的Block設(shè)計

Vivado的地址分配

Vivado的器件資源使用情況

下面是Vivado IP Integrator的TCL腳本。

################################################################
# This is a generated script based on design: design_1
#
# Though there are limitations about the generated script,
# the main purpose of this utility is to make learning
# IP Integrator Tcl commands easier.
################################################################

namespace eval _tcl {
proc get_script_folder {} {
set script_path [file normalize [info script]]
set script_folder [file dirname $script_path]
return $script_folder
}
}
variable script_folder
set script_folder [_tcl::get_script_folder]

################################################################
# Check if script is running in correct Vivado version.
################################################################
set scripts_vivado_version 2018.3
set current_vivado_version [version -short]

if { [string first $scripts_vivado_version $current_vivado_version] == -1 } {
puts ""
common::send_msg_id "BD_TCL-1002" "WARNING" "This script was generated using Vivado without IP versions in the create_bd_cell commands, but is now being run in of Vivado. There may have been major IP version changes between Vivado and , which could impact the parameter settings of the IPs."

}

################################################################
# START
################################################################

# To test this script, run the following commands from Vivado Tcl console:
# source design_1_script.tcl

# If there is no project opened, this script will create a
# project, but make sure you do not have an existing project
# <.> in the current working folder.

set list_projs [get_projects -quiet]
if { $list_projs eq "" } {
create_project project_1 myproj -part xczu7ev-ffvc1156-2-e
set_property BOARD_PART xilinx.com:zcu106:part0:2.3 [current_project]
}

# CHANGE DESIGN NAME HERE
variable design_name
set design_name design_1

# If you do not already have an existing IP Integrator design open,
# you can create a design using the following command:
# create_bd_design $design_name

# Creating design if needed
set errMsg ""
set nRet 0

set cur_design [current_bd_design -quiet]
set list_cells [get_bd_cells -quiet]

if { ${design_name} eq "" } {
# USE CASES:
# 1) Design_name not set

set errMsg "Please set the variable to a non-empty value."
set nRet 1

} elseif { ${cur_design} ne "" && ${list_cells} eq "" } {
# USE CASES:
# 2): Current design opened AND is empty AND names same.
# 3): Current design opened AND is empty AND names diff; design_name NOT in project.
# 4): Current design opened AND is empty AND names diff; design_name exists in project.

if { $cur_design ne $design_name } {
common::send_msg_id "BD_TCL-001" "INFO" "Changing value of from to since current design is empty."
set design_name [get_property NAME $cur_design]
}
common::send_msg_id "BD_TCL-002" "INFO" "Constructing design in IPI design ..."

} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } {
# USE CASES:
# 5) Current design opened AND has components AND same names.

set errMsg "Design already exists in your project, please set the variable to another value."
set nRet 1
} elseif { [get_files -quiet ${design_name}.bd] ne "" } {
# USE CASES:
# 6) Current opened design, has components, but diff names, design_name exists in project.
# 7) No opened design, design_name exists in project.

set errMsg "Design already exists in your project, please set the variable to another value."
set nRet 2

} else {
# USE CASES:
# 8) No opened design, design_name not in project.
# 9) Current opened design, has components, but diff names, design_name not in project.

common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design in project, so creating one..."

create_bd_design $design_name

common::send_msg_id "BD_TCL-004" "INFO" "Making design as current_bd_design."
current_bd_design $design_name

}

common::send_msg_id "BD_TCL-005" "INFO" "Currently the variable is equal to /"$design_name/"."

if { $nRet != 0 } {
catch {common::send_msg_id "BD_TCL-114" "ERROR" $errMsg}
return $nRet
}

set bCheckIPsPassed 1
##################################################################
# CHECK IPs
##################################################################
set bCheckIPs 1
if { $bCheckIPs == 1 } {
set list_check_ips "/
xilinx.com:ip:axi_bram_ctrl:*/
xilinx.com:ip:blk_mem_gen:*/
xilinx.com:ip:axi_timer:*/
xilinx.com:ip:clk_wiz:*/
xilinx.com:ip:mdm:*/
xilinx.com:ip:microblaze:*/
xilinx.com:ip:axi_intc:*/
xilinx.com:ip:xlconcat:*/
xilinx.com:ip:proc_sys_reset:*/
xilinx.com:ip:lmb_bram_if_cntlr:*/
xilinx.com:ip:lmb_v10:*/
"

set list_ips_missing ""
common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."

foreach ip_vlnv $list_check_ips {
set ip_obj [get_ipdefs -all $ip_vlnv]
if { $ip_obj eq "" } {
lappend list_ips_missing $ip_vlnv
}
}

if { $list_ips_missing ne "" } {
catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:/n $list_ips_missing/n/nResolution: Please add the repository containing the IP(s) to the project." }
set bCheckIPsPassed 0
}

}

if { $bCheckIPsPassed != 1 } {
common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
return 3
}

##################################################################
# DESIGN PROCs
##################################################################

# Hierarchical cell: microblaze_0_local_memory
proc create_hier_cell_microblaze_0_local_memory { parentCell nameHier } {

variable script_folder

if { $parentCell eq "" || $nameHier eq "" } {
catch {common::send_msg_id "BD_TCL-102" "ERROR" "create_hier_cell_microblaze_0_local_memory() - Empty argument(s)!"}
return
}

# Get object for parentCell
set parentObj [get_bd_cells $parentCell]
if { $parentObj == "" } {
catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell !"}
return
}

# Make sure parentObj is hier blk
set parentType [get_property TYPE $parentObj]
if { $parentType ne "hier" } {
catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent has TYPE = . Expected to be ."}
return
}

# Save current instance; Restore later
set oldCurInst [current_bd_instance .]

# Set parent object as current
current_bd_instance $parentObj

# Create cell and set as current instance
set hier_obj [create_bd_cell -type hier $nameHier]
current_bd_instance $hier_obj

# Create interface pins
create_bd_intf_pin -mode MirroredMaster -vlnv xilinx.com:interface:lmb_rtl:1.0 DLMB
create_bd_intf_pin -mode MirroredMaster -vlnv xilinx.com:interface:lmb_rtl:1.0 ILMB

# Create pins
create_bd_pin -dir I -type clk LMB_Clk
create_bd_pin -dir I -type rst SYS_Rst

# Create instance: dlmb_bram_if_cntlr, and set properties
set dlmb_bram_if_cntlr [ create_bd_cell -type ip -vlnv xilinx.com:ip:lmb_bram_if_cntlr dlmb_bram_if_cntlr ]
set_property -dict [ list /
CONFIG.C_ECC {1} /
] $dlmb_bram_if_cntlr

# Create instance: dlmb_v10, and set properties
set dlmb_v10 [ create_bd_cell -type ip -vlnv xilinx.com:ip:lmb_v10 dlmb_v10 ]

# Create instance: ilmb_bram_if_cntlr, and set properties
set ilmb_bram_if_cntlr [ create_bd_cell -type ip -vlnv xilinx.com:ip:lmb_bram_if_cntlr ilmb_bram_if_cntlr ]
set_property -dict [ list /
CONFIG.C_ECC {1} /
] $ilmb_bram_if_cntlr

# Create instance: ilmb_v10, and set properties
set ilmb_v10 [ create_bd_cell -type ip -vlnv xilinx.com:ip:lmb_v10 ilmb_v10 ]

# Create instance: lmb_bram, and set properties
set lmb_bram [ create_bd_cell -type ip -vlnv xilinx.com:ip:blk_mem_gen lmb_bram ]
set_property -dict [ list /
CONFIG.Memory_Type {True_Dual_Port_RAM} /
CONFIG.use_bram_block {BRAM_Controller} /
] $lmb_bram

# Create interface connections
connect_bd_intf_net -intf_net microblaze_0_dlmb [get_bd_intf_pins DLMB] [get_bd_intf_pins dlmb_v10/LMB_M]
connect_bd_intf_net -intf_net microblaze_0_dlmb_bus [get_bd_intf_pins dlmb_bram_if_cntlr/SLMB] [get_bd_intf_pins dlmb_v10/LMB_Sl_0]
connect_bd_intf_net -intf_net microblaze_0_dlmb_cntlr [get_bd_intf_pins dlmb_bram_if_cntlr/BRAM_PORT] [get_bd_intf_pins lmb_bram/BRAM_PORTA]
connect_bd_intf_net -intf_net microblaze_0_ilmb [get_bd_intf_pins ILMB] [get_bd_intf_pins ilmb_v10/LMB_M]
connect_bd_intf_net -intf_net microblaze_0_ilmb_bus [get_bd_intf_pins ilmb_bram_if_cntlr/SLMB] [get_bd_intf_pins ilmb_v10/LMB_Sl_0]
connect_bd_intf_net -intf_net microblaze_0_ilmb_cntlr [get_bd_intf_pins ilmb_bram_if_cntlr/BRAM_PORT] [get_bd_intf_pins lmb_bram/BRAM_PORTB]

# Create port connections
connect_bd_net -net SYS_Rst_1 [get_bd_pins SYS_Rst] [get_bd_pins dlmb_bram_if_cntlr/LMB_Rst] [get_bd_pins dlmb_v10/SYS_Rst] [get_bd_pins ilmb_bram_if_cntlr/LMB_Rst] [get_bd_pins ilmb_v10/SYS_Rst]
connect_bd_net -net microblaze_0_Clk [get_bd_pins LMB_Clk] [get_bd_pins dlmb_bram_if_cntlr/LMB_Clk] [get_bd_pins dlmb_v10/LMB_Clk] [get_bd_pins ilmb_bram_if_cntlr/LMB_Clk] [get_bd_pins ilmb_v10/LMB_Clk]

# Restore current instance
current_bd_instance $oldCurInst
}

# Procedure to create entire design; Provide argument to make
# procedure reusable. If parentCell is "", will use root.
proc create_root_design { parentCell } {

variable script_folder
variable design_name

if { $parentCell eq "" } {
set parentCell [get_bd_cells /]
}

# Get object for parentCell
set parentObj [get_bd_cells $parentCell]
if { $parentObj == "" } {
catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell !"}
return
}

# Make sure parentObj is hier blk
set parentType [get_property TYPE $parentObj]
if { $parentType ne "hier" } {
catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent has TYPE = . Expected to be ."}
return
}

# Save current instance; Restore later
set oldCurInst [current_bd_instance .]

# Set parent object as current
current_bd_instance $parentObj

# Create interface ports
set user_si570_sysclk [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 user_si570_sysclk ]
set_property -dict [ list /
CONFIG.FREQ_HZ {300000000} /
] $user_si570_sysclk

# Create ports
set reset [ create_bd_port -dir I -type rst reset ]
set_property -dict [ list /
CONFIG.POLARITY {ACTIVE_HIGH} /
] $reset

# Create instance: axi_bram_ctrl_0, and set properties
set axi_bram_ctrl_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_bram_ctrl axi_bram_ctrl_0 ]

# Create instance: axi_bram_ctrl_0_bram, and set properties
set axi_bram_ctrl_0_bram [ create_bd_cell -type ip -vlnv xilinx.com:ip:blk_mem_gen axi_bram_ctrl_0_bram ]
set_property -dict [ list /
CONFIG.Memory_Type {True_Dual_Port_RAM} /
] $axi_bram_ctrl_0_bram

# Create instance: axi_timer_0, and set properties
set axi_timer_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_timer axi_timer_0 ]

# Create instance: clk_wiz_1, and set properties
set clk_wiz_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz clk_wiz_1 ]
set_property -dict [ list /
CONFIG.CLK_IN1_BOARD_INTERFACE {user_si570_sysclk} /
CONFIG.PRIM_SOURCE {Differential_clock_capable_pin} /
CONFIG.RESET_BOARD_INTERFACE {reset} /
CONFIG.USE_BOARD_FLOW {true} /
] $clk_wiz_1

# Create instance: mdm_1, and set properties
set mdm_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:mdm mdm_1 ]
set_property -dict [ list /
CONFIG.C_ADDR_SIZE {32} /
CONFIG.C_M_AXI_ADDR_WIDTH {32} /
CONFIG.C_USE_UART {1} /
] $mdm_1

# Create instance: microblaze_0, and set properties
set microblaze_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:microblaze microblaze_0 ]
set_property -dict [ list /
CONFIG.C_DEBUG_ENABLED {1} /
CONFIG.C_D_AXI {1} /
CONFIG.C_D_LMB {1} /
CONFIG.C_I_LMB {1} /
CONFIG.G_TEMPLATE_LIST {10} /
] $microblaze_0

# Create instance: microblaze_0_axi_intc, and set properties
set microblaze_0_axi_intc [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_intc microblaze_0_axi_intc ]
set_property -dict [ list /
CONFIG.C_HAS_FAST {1} /
] $microblaze_0_axi_intc

# Create instance: microblaze_0_axi_periph, and set properties
set microblaze_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect microblaze_0_axi_periph ]
set_property -dict [ list /
CONFIG.NUM_MI {3} /
CONFIG.NUM_SI {1} /
] $microblaze_0_axi_periph

# Create instance: microblaze_0_axi_periph1, and set properties
set microblaze_0_axi_periph1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect microblaze_0_axi_periph1 ]
set_property -dict [ list /
CONFIG.NUM_MI {1} /
CONFIG.NUM_SI {2} /
] $microblaze_0_axi_periph1

# Create instance: microblaze_0_local_memory
create_hier_cell_microblaze_0_local_memory [current_bd_instance .] microblaze_0_local_memory

# Create instance: microblaze_0_xlconcat, and set properties
set microblaze_0_xlconcat [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat microblaze_0_xlconcat ]

# Create instance: rst_clk_wiz_1_100M, and set properties
set rst_clk_wiz_1_100M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset rst_clk_wiz_1_100M ]
set_property -dict [ list /
CONFIG.RESET_BOARD_INTERFACE {reset} /
CONFIG.USE_BOARD_FLOW {true} /
] $rst_clk_wiz_1_100M

# Create interface connections
connect_bd_intf_net -intf_net axi_bram_ctrl_0_BRAM_PORTA [get_bd_intf_pins axi_bram_ctrl_0/BRAM_PORTA] [get_bd_intf_pins axi_bram_ctrl_0_bram/BRAM_PORTA]
connect_bd_intf_net -intf_net axi_bram_ctrl_0_BRAM_PORTB [get_bd_intf_pins axi_bram_ctrl_0/BRAM_PORTB] [get_bd_intf_pins axi_bram_ctrl_0_bram/BRAM_PORTB]
connect_bd_intf_net -intf_net microblaze_0_M_AXI_DC [get_bd_intf_pins microblaze_0/M_AXI_DC] [get_bd_intf_pins microblaze_0_axi_periph1/S00_AXI]
connect_bd_intf_net -intf_net microblaze_0_M_AXI_IC [get_bd_intf_pins microblaze_0/M_AXI_IC] [get_bd_intf_pins microblaze_0_axi_periph1/S01_AXI]
connect_bd_intf_net -intf_net microblaze_0_axi_dp [get_bd_intf_pins microblaze_0/M_AXI_DP] [get_bd_intf_pins microblaze_0_axi_periph/S00_AXI]
connect_bd_intf_net -intf_net microblaze_0_axi_periph1_M00_AXI [get_bd_intf_pins axi_bram_ctrl_0/S_AXI] [get_bd_intf_pins microblaze_0_axi_periph1/M00_AXI]
connect_bd_intf_net -intf_net microblaze_0_axi_periph_M02_AXI [get_bd_intf_pins axi_timer_0/S_AXI] [get_bd_intf_pins microblaze_0_axi_periph/M02_AXI]
connect_bd_intf_net -intf_net microblaze_0_debug [get_bd_intf_pins mdm_1/MBDEBUG_0] [get_bd_intf_pins microblaze_0/DEBUG]
connect_bd_intf_net -intf_net microblaze_0_dlmb_1 [get_bd_intf_pins microblaze_0/DLMB] [get_bd_intf_pins microblaze_0_local_memory/DLMB]
connect_bd_intf_net -intf_net microblaze_0_ilmb_1 [get_bd_intf_pins microblaze_0/ILMB] [get_bd_intf_pins microblaze_0_local_memory/ILMB]
connect_bd_intf_net -intf_net microblaze_0_intc_axi [get_bd_intf_pins microblaze_0_axi_intc/s_axi] [get_bd_intf_pins microblaze_0_axi_periph/M00_AXI]
connect_bd_intf_net -intf_net microblaze_0_interrupt [get_bd_intf_pins microblaze_0/INTERRUPT] [get_bd_intf_pins microblaze_0_axi_intc/interrupt]
connect_bd_intf_net -intf_net microblaze_0_mdm_axi [get_bd_intf_pins mdm_1/S_AXI] [get_bd_intf_pins microblaze_0_axi_periph/M01_AXI]
connect_bd_intf_net -intf_net user_si570_sysclk_1 [get_bd_intf_ports user_si570_sysclk] [get_bd_intf_pins clk_wiz_1/CLK_IN1_D]

# Create port connections
connect_bd_net -net ARESETN_1 [get_bd_pins microblaze_0_axi_periph/ARESETN] [get_bd_pins microblaze_0_axi_periph1/ARESETN] [get_bd_pins rst_clk_wiz_1_100M/interconnect_aresetn]
connect_bd_net -net axi_timer_0_interrupt [get_bd_pins axi_timer_0/interrupt] [get_bd_pins microblaze_0_xlconcat/In0]
connect_bd_net -net clk_wiz_1_locked [get_bd_pins clk_wiz_1/locked] [get_bd_pins rst_clk_wiz_1_100M/dcm_locked]
connect_bd_net -net mdm_1_debug_sys_rst [get_bd_pins mdm_1/Debug_SYS_Rst] [get_bd_pins rst_clk_wiz_1_100M/mb_debug_sys_rst]
connect_bd_net -net microblaze_0_Clk [get_bd_pins axi_bram_ctrl_0/s_axi_aclk] [get_bd_pins axi_timer_0/s_axi_aclk] [get_bd_pins clk_wiz_1/clk_out1] [get_bd_pins mdm_1/S_AXI_ACLK] [get_bd_pins microblaze_0/Clk] [get_bd_pins microblaze_0_axi_intc/processor_clk] [get_bd_pins microblaze_0_axi_intc/s_axi_aclk] [get_bd_pins microblaze_0_axi_periph/ACLK] [get_bd_pins microblaze_0_axi_periph/M00_ACLK] [get_bd_pins microblaze_0_axi_periph/M01_ACLK] [get_bd_pins microblaze_0_axi_periph/M02_ACLK] [get_bd_pins microblaze_0_axi_periph/S00_ACLK] [get_bd_pins microblaze_0_axi_periph1/ACLK] [get_bd_pins microblaze_0_axi_periph1/M00_ACLK] [get_bd_pins microblaze_0_axi_periph1/S00_ACLK] [get_bd_pins microblaze_0_axi_periph1/S01_ACLK] [get_bd_pins microblaze_0_local_memory/LMB_Clk] [get_bd_pins rst_clk_wiz_1_100M/slowest_sync_clk]
connect_bd_net -net microblaze_0_intr [get_bd_pins microblaze_0_axi_intc/intr] [get_bd_pins microblaze_0_xlconcat/dout]
connect_bd_net -net reset_1 [get_bd_ports reset] [get_bd_pins clk_wiz_1/reset] [get_bd_pins rst_clk_wiz_1_100M/ext_reset_in]
connect_bd_net -net rst_clk_wiz_1_100M_bus_struct_reset [get_bd_pins microblaze_0_local_memory/SYS_Rst] [get_bd_pins rst_clk_wiz_1_100M/bus_struct_reset]
connect_bd_net -net rst_clk_wiz_1_100M_mb_reset [get_bd_pins microblaze_0/Reset] [get_bd_pins microblaze_0_axi_intc/processor_rst] [get_bd_pins rst_clk_wiz_1_100M/mb_reset]
connect_bd_net -net rst_clk_wiz_1_100M_peripheral_aresetn [get_bd_pins axi_bram_ctrl_0/s_axi_aresetn] [get_bd_pins axi_timer_0/s_axi_aresetn] [get_bd_pins mdm_1/S_AXI_ARESETN] [get_bd_pins microblaze_0_axi_intc/s_axi_aresetn] [get_bd_pins microblaze_0_axi_periph/M00_ARESETN] [get_bd_pins microblaze_0_axi_periph/M01_ARESETN] [get_bd_pins microblaze_0_axi_periph/M02_ARESETN] [get_bd_pins microblaze_0_axi_periph/S00_ARESETN] [get_bd_pins microblaze_0_axi_periph1/M00_ARESETN] [get_bd_pins microblaze_0_axi_periph1/S00_ARESETN] [get_bd_pins microblaze_0_axi_periph1/S01_ARESETN] [get_bd_pins rst_clk_wiz_1_100M/peripheral_aresetn]

# Create address segments
create_bd_addr_seg -range 0x00080000 -offset 0x80000000 [get_bd_addr_spaces microblaze_0/Data] [get_bd_addr_segs axi_bram_ctrl_0/S_AXI/Mem0] SEG_axi_bram_ctrl_0_Mem0
create_bd_addr_seg -range 0x00080000 -offset 0x80000000 [get_bd_addr_spaces microblaze_0/Instruction] [get_bd_addr_segs axi_bram_ctrl_0/S_AXI/Mem0] SEG_axi_bram_ctrl_0_Mem0
create_bd_addr_seg -range 0x00010000 -offset 0x41C00000 [get_bd_addr_spaces microblaze_0/Data] [get_bd_addr_segs axi_timer_0/S_AXI/Reg] SEG_axi_timer_0_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x00000000 [get_bd_addr_spaces microblaze_0/Data] [get_bd_addr_segs microblaze_0_local_memory/dlmb_bram_if_cntlr/SLMB/Mem] SEG_dlmb_bram_if_cntlr_Mem
create_bd_addr_seg -range 0x00010000 -offset 0x00000000 [get_bd_addr_spaces microblaze_0/Instruction] [get_bd_addr_segs microblaze_0_local_memory/ilmb_bram_if_cntlr/SLMB/Mem] SEG_ilmb_bram_if_cntlr_Mem
create_bd_addr_seg -range 0x00001000 -offset 0x41400000 [get_bd_addr_spaces microblaze_0/Data] [get_bd_addr_segs mdm_1/S_AXI/Reg] SEG_mdm_1_Reg
create_bd_addr_seg -range 0x00010000 -offset 0x41200000 [get_bd_addr_spaces microblaze_0/Data] [get_bd_addr_segs microblaze_0_axi_intc/S_AXI/Reg] SEG_microblaze_0_axi_intc_Reg

# Restore current instance
current_bd_instance $oldCurInst

validate_bd_design
save_bd_design
}
# End of create_root_design()

##################################################################
# MAIN FLOW
##################################################################

create_root_design ""

軟件測試過程

xsct% connect
attempting to launch hw_server

****** Xilinx hw_server v2018.3
**** Build date : Dec 7 2018-00:40:27
** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.

INFO: hw_server application started
INFO: Use Ctrl-C to exit hw_server application

****** Xilinx hw_server v2018.3

**** Build date : Dec 7 2018-00:40:27

** Copyright 1986-2018 Xilinx, Inc. All Rights Reserved.

INFO: hw_server application started

INFO: Use Ctrl-C to exit hw_server application

INFO: To connect to this hw_server instance use url: TCP:127.0.0.1:3121

target
1* PS TAP
2 PMU
3 PL
13 MicroBlaze Debug Module at USER2
14 MicroBlaze #0 (Running)
xsct% target 14
xsct% rst -proc
Info: MicroBlaze #0 (target 14) Stopped at 0x10 (Stop)
xsct% target
1 PS TAP
2 PMU
3 PL
13 MicroBlaze Debug Module at USER2
14* MicroBlaze #0 (Stop)
xsct% rrd
r0: 00000000 r1: 00000000 r2: 00000000 r3: 00000000 r4: 00000000
r5: 00000000 r6: 00000000 r7: 00000000 r8: 00000000 r9: 00000000
r10: 00000000 r11: 00000000 r12: 00000000 r13: 00000000 r14: 00000000
r15: 00000000 r16: 00000000 r17: 00000000 r18: 00000000 r19: 00000000
r20: 00000000 r21: 00000000 r22: 00000000 r23: 00000000 r24: 00000000
r25: 00000000 r26: 00000000 r27: 00000000 r28: 00000000 r29: 00000000
r30: 00000000 r31: 00000000 pc: 00000010 msr: 00000400 ear: 00000000
esr: 00000000 fsr: 00000000 btr: 00000000 pvr mmu
dcr: 00000009 dsr: 21010001

xsct% mrd 0x80000000 0x10
80000000: 00000000
80000004: 00000000
80000008: 00000000
8000000C: 00000000
80000010: 00000000
80000014: 00000000
80000018: 00000000
8000001C: 00000000
80000020: 00000000
80000024: 00000000
80000028: 00000000
8000002C: 00000000
80000030: 00000000
80000034: 00000000
80000038: 00000000
8000003C: 00000000

xsct% mwr 0x80000000 0x12345678
xsct% mrd 0x80000000 0x10
80000000: 12345678
80000004: 00000000
80000008: 00000000
8000000C: 00000000
80000010: 00000000
80000014: 00000000
80000018: 00000000
8000001C: 00000000
80000020: 00000000
80000024: 00000000
80000028: 00000000
8000002C: 00000000
80000030: 00000000
80000034: 00000000
80000038: 00000000
8000003C: 00000000

編輯:hfy
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7366

    瀏覽量

    163095
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1344

    瀏覽量

    114215
  • MicroBlaze
    +關(guān)注

    關(guān)注

    3

    文章

    66

    瀏覽量

    21492
收藏 人收藏

    評論

    相關(guān)推薦

    KeyStone存儲器架構(gòu)

    CorePac 的內(nèi)部存儲器架構(gòu)與此前 C6000™ DSP 系列產(chǎn)品相比,主要在四個方面實現(xiàn)了增強,而這突出體現(xiàn)在性能指標和實用性方面。這些改進旨在實現(xiàn)如下優(yōu)勢:1)
    發(fā)表于 08-13 15:45

    NAND 閃速存儲器內(nèi)部結(jié)構(gòu)

    TC58V64的內(nèi)部結(jié)構(gòu)如圖所示。閃速存儲器的容量增大,則塊數(shù)也將增加,但內(nèi)部的基本結(jié)構(gòu)沒有改變。NAND 閃速存儲器的特點①按順序存取數(shù)據(jù);②存儲
    發(fā)表于 04-11 10:11

    請教AD9954的內(nèi)部存儲器問題

    你好,我當前正在運用ADI公司的AD9954芯片,我想用它自帶的內(nèi)部存儲器來產(chǎn)生任意波形,可是搞了10天了還是沒有任何的進展,在此希望能夠得到ADI技術(shù)人員的幫助,或是給我們些有關(guān)內(nèi)部存儲器
    發(fā)表于 11-26 10:07

    如何利用固態(tài)存儲器進行ECC算法分析與實現(xiàn)?

    特錯誤和檢測雙比特錯誤,但對雙比特以上的錯誤不能保證檢測。它克服了傳統(tǒng)奇偶校驗只能檢出奇數(shù)位出錯、校驗碼冗長、不能糾錯的局限性。文中在高速大容量固態(tài)存儲器的硬件結(jié)構(gòu)基礎(chǔ)上,詳細介紹了ECC校驗碼的生成規(guī)則以及ECC校驗流程,以及如何利用固態(tài)
    發(fā)表于 07-31 06:47

    尋找具有內(nèi)部存儲器的CPLD

    嗨, 我正在尋找具有內(nèi)部存儲器的CPLD。我想將程序存儲在CPLD中,這樣每次打開電源時,我都不必重新編程IC。有沒有這樣的CPLD?謝謝,阿文德古普塔。
    發(fā)表于 08-06 08:27

    如何利用Xilinx FPGA和存儲器接口生成器簡化存儲器接口?

    如何利用Xilinx FPGA和存儲器接口生成器簡化存儲器接口?
    發(fā)表于 05-06 07:23

    8051單片機內(nèi)部程序存儲器容量

    8051單片機內(nèi)部程序存儲器容量,單片機運行時的數(shù)據(jù)都存在于RAM(隨機存儲器)中,在掉電后RAM中的數(shù)據(jù)是無法保留的,那么怎樣使數(shù)據(jù)在掉電后不丟失呢?這就需要使用EEPROM 或FLASHROM
    發(fā)表于 07-19 08:53

    利用XILINX解決方案快速創(chuàng)建存儲器接口設(shè)計

    利用XILINX解決方案快速創(chuàng)建存儲器接口設(shè)計
    發(fā)表于 01-08 23:05 ?39次下載

    相變存儲器:能實現(xiàn)全新存儲器使用模型的新型存儲器

    相變存儲器:能實現(xiàn)全新存儲器使用模型的新型存儲器 從下面的幾個重要特性看,相變存儲器(PCM)技術(shù)均符合當前電子系統(tǒng)對
    發(fā)表于 12-31 10:09 ?1160次閱讀

    單片機內(nèi)部的三大存儲器介紹

    存儲器屬于常見產(chǎn)品,在各類需要存儲功能的器件中均存在存儲器身影。本文中,小編將對單片機內(nèi)部的各大存儲器:程序
    發(fā)表于 10-19 11:46 ?9386次閱讀

    PLC內(nèi)部常用存儲器的使用規(guī)則

    由于輸入存儲器的電平狀態(tài)只能由主令電器通過輸入接口來“寫”,CPU只能“讀取”輸入存儲器的電平狀態(tài)而無法把電平狀態(tài)“寫入”輸入存儲器,所以,輸入存儲器只能分配給主令電器使用,而不能作為
    的頭像 發(fā)表于 06-06 11:10 ?5738次閱讀
    PLC<b class='flag-5'>內(nèi)部</b>常用<b class='flag-5'>存儲器</b>的使用規(guī)則

    MicroBlaze大內(nèi)部存儲器(AXI BRAM)設(shè)計

    MicroBlaze可以使用AXI BRAM存放數(shù)據(jù)和指令。有些客戶軟件很大,需要把AXI BRAM的空間做到最大。AXI BRAM底層是Block RAM或者Ultra RAM。器件的Block RAM或者Ultra RAM個數(shù),決定了AXI BRAM的大小。
    的頭像 發(fā)表于 08-02 10:09 ?3013次閱讀
    <b class='flag-5'>MicroBlaze</b><b class='flag-5'>大內(nèi)部</b><b class='flag-5'>存儲器</b>(AXI BRAM)設(shè)計

    微控制內(nèi)部存儲器有哪些

    微控制(MCU)內(nèi)部存儲器是微控制系統(tǒng)的重要組成部分,它負責存儲程序代碼、數(shù)據(jù)以及控制邏輯等信息。這些
    的頭像 發(fā)表于 08-22 10:41 ?459次閱讀

    內(nèi)部存儲器有哪些

    內(nèi)部存儲器,也稱為內(nèi)存(Memory),是計算機系統(tǒng)中用于暫時存儲程序和數(shù)據(jù)的重要組件。它直接與CPU相連,是CPU處理數(shù)據(jù)的主要來源。內(nèi)部存儲器
    的頭像 發(fā)表于 09-05 10:42 ?436次閱讀

    存儲器芯片的內(nèi)部結(jié)構(gòu)及其引腳類型

    內(nèi)部結(jié)構(gòu)和引腳類型對于它們的功能和與外部設(shè)備的兼容性至關(guān)重要。 存儲器芯片的內(nèi)部結(jié)構(gòu) 存儲單元 :存儲器芯片的核心是
    的頭像 發(fā)表于 09-18 11:04 ?127次閱讀