0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA之主時鐘約束解析

電子設(shè)計 ? 來源:科學計算technomania ? 作者:貓叔 ? 2020-11-16 17:45 ? 次閱讀

約束主時鐘

在這一節(jié)開講之前,我們先把wave_gen工程的wave_gen_timing.xdc中的內(nèi)容都刪掉,即先看下在沒有任何時序約束的情況下會綜合出什么結(jié)果?

對工程綜合并Implementation后,Open Implemented Design,會看到下圖所示內(nèi)容。


可以看到,時序并未收斂。可能到這里有的同學就會有疑問,我們都已經(jīng)把時序約束的內(nèi)容都刪了,按我們第一講中提到的“因此如果我們不加時序約束,軟件是無法得知我們的時鐘周期是多少,PAR后的結(jié)果是不會提示時序警告的”,這是因為在該工程中,用了一個MMCM,并在里面設(shè)置了輸入信號頻率,因此這個時鐘軟件會自動加上約束。

接下來,我們在tcl命令行中輸入report_clock_networks -name main,顯示如下:


可以看出,Vivado會自動設(shè)別出兩個主時鐘,其中clk_pin_p是200MHz,這個是直接輸入到了MMCM中,因此會自動約束;另一個輸入時鐘clk_in2沒有約束,需要我們手動進行約束。

或者可以使用check_timing -override_defaults no_clock指令,這個指令我們之前的內(nèi)容講過,這里不再重復講了。

在tcl中輸入

create_clock -name clk2 -period 25 [get_ports clk_in2]

注:在Vivado中,可以直接通過tcl直接運行時序約束腳本,運行后Vivado會自動把這些約束加入到xdc文件中。

再執(zhí)行report_clock_networks -name main,顯示如下:


可以看到,主時鐘都已被正確約束。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598884
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1699

    瀏覽量

    88266
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853
  • 時鐘約束
    +關(guān)注

    關(guān)注

    0

    文章

    15

    瀏覽量

    6005
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA時序案例分析之時鐘周期約束

    時鐘周期約束,顧名思義,就是我們對時鐘的周期進行約束,這個約束是我們用的最多的約束了,也是最重要
    的頭像 發(fā)表于 11-19 11:44 ?5532次閱讀
    <b class='flag-5'>FPGA</b>時序案例分析之<b class='flag-5'>時鐘</b>周期<b class='flag-5'>約束</b>

    FPGA案例解析:針對源同步的時序約束

    FPGA與外部器件共用外部時鐘;源同步(SDR,DDR)即時鐘與數(shù)據(jù)一起從上游器件發(fā)送過來的情況。在設(shè)計當中,我們遇到的絕大部分都是針對源同步的時序約束問題。所以下文講述的主要是針對源
    的頭像 發(fā)表于 11-20 14:44 ?7506次閱讀
    <b class='flag-5'>FPGA</b>案例<b class='flag-5'>解析</b>:針對源同步的時序<b class='flag-5'>約束</b>

    FPGA的IO口時序約束分析

      在高速系統(tǒng)中FPGA時序約束不止包括內(nèi)部時鐘約束,還應(yīng)包括完整的IO時序約束和時序例外約束
    發(fā)表于 09-27 09:56 ?1638次閱讀

    FPGA時鐘約束詳解 Vivado添加時序約束方法

    FPGA設(shè)計中,時序約束的設(shè)置對于電路性能和可靠性都至關(guān)重要。在上一篇的文章中,已經(jīng)詳細介紹了FPGA時序約束的基礎(chǔ)知識。
    發(fā)表于 06-06 18:27 ?9739次閱讀
    <b class='flag-5'>FPGA</b>主<b class='flag-5'>時鐘</b><b class='flag-5'>約束</b>詳解 Vivado添加時序<b class='flag-5'>約束</b>方法

    FPGA時序約束之衍生時鐘約束時鐘分組約束

    FPGA設(shè)計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經(jīng)詳細介紹了FPGA時序約束的主時鐘
    發(fā)表于 06-12 17:29 ?2272次閱讀

    FPGA時鐘周期約束講解

    時鐘周期約束是用于對時鐘周期的約束,屬于時序約束中最重要的約束之一。
    發(fā)表于 08-14 18:25 ?769次閱讀

    FPGA全局時鐘約束(Xilinx版本)

    FPGA上的全局時鐘管腳用完了就出現(xiàn)不夠用的情況。FPGA全局時鐘約束(Xilinx版本)[hide][/hide]
    發(fā)表于 02-29 09:46

    FPGA時序約束OFFSET

    FPGA時序約束,總體來分可以分為3類,輸入時序約束,輸出時序約束,和寄存器到寄存器路徑的約束。其中輸入時序
    發(fā)表于 09-05 21:13

    FPGA時鐘約束問題

    FPGA的DCM模塊,40MHz時鐘輸入,得到clkout1 40MHz,clkout2 60MHz,clkout1 120MHz。對40MHz時鐘添加了約束,系統(tǒng)不是會自動對三個輸出
    發(fā)表于 05-25 15:06

    FPGA案例之衍生時鐘約束

    約束衍生時鐘 系統(tǒng)中有4個衍生時鐘,但其中有兩個是MMCM輸出的,不需要我們手動約束,因此我們只需要對clk_samp和spi_clk進行約束
    的頭像 發(fā)表于 11-17 16:28 ?2231次閱讀
    <b class='flag-5'>FPGA</b>案例之衍生<b class='flag-5'>時鐘</b><b class='flag-5'>約束</b>

    簡述Xilinx FPGA管腳物理約束解析

    引言:本文我們簡單介紹下Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束。
    的頭像 發(fā)表于 04-27 10:36 ?4067次閱讀
    簡述Xilinx <b class='flag-5'>FPGA</b>管腳物理<b class='flag-5'>約束</b><b class='flag-5'>解析</b>

    簡述FPGA時鐘約束時鐘余量超差解決方法

    在設(shè)計FPGA項目的時候,對時鐘進行約束,但是因為算法或者硬件的原因,都使得時鐘約束出現(xiàn)超差現(xiàn)象,接下來主要就是解決
    的頭像 發(fā)表于 10-11 14:52 ?3315次閱讀
    簡述<b class='flag-5'>FPGA</b><b class='flag-5'>時鐘</b><b class='flag-5'>約束</b><b class='flag-5'>時鐘</b>余量超差解決方法

    進入IP Core的時鐘,都不需要再手動添加約束

    對于7系列FPGA,需要對GT的這兩個時鐘手工約束:對于UltraScale FPGA,只需對GT的輸入時鐘
    的頭像 發(fā)表于 02-16 16:21 ?1460次閱讀
    進入IP Core的<b class='flag-5'>時鐘</b>,都不需要再手動添加<b class='flag-5'>約束</b>嗎

    FPGA時序約束的原理是什么?

    FPGA開發(fā)過程中,離不開時序約束,那么時序約束是什么?簡單點說,FPGA芯片中的邏輯電路,從輸入到輸出所需要的時間,這個時間必須在設(shè)定的時鐘
    發(fā)表于 06-26 14:42 ?555次閱讀
    <b class='flag-5'>FPGA</b>時序<b class='flag-5'>約束</b>的原理是什么?

    FPGA設(shè)計衍生時鐘約束時鐘分組約束設(shè)置

    FPGA設(shè)計中,時序約束對于電路性能和可靠性非常重要。
    發(fā)表于 06-26 14:53 ?1392次閱讀
    <b class='flag-5'>FPGA</b>設(shè)計衍生<b class='flag-5'>時鐘</b><b class='flag-5'>約束</b>和<b class='flag-5'>時鐘</b>分組<b class='flag-5'>約束</b>設(shè)置