0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA為什么要做直方圖均衡化

454398 ? 來源:FPGA干貨架 ? 作者:romme ? 2020-11-19 17:03 ? 次閱讀

作者:romme

1、圖像直方圖概念

圖像直方圖用來反映一副圖像的像素分布。其中,橫坐標(biāo)表示圖像像素的灰度級,縱坐標(biāo)表示每個灰度級對應(yīng)的像素總數(shù)或占所有像素個數(shù)的百分比。


例如:灰度級為[0~255]的數(shù)字圖像直方圖,定義:

h(rk)= nk

其中,rk是第k個灰度級(k = 0~255),nk是該灰度級的個數(shù)。

若以每個灰度級的個數(shù)nk去除以圖像像素總數(shù)n,則得到歸一化的直方圖,定義:

P(rk)= nk/n

即,P(rk)表示灰度級為rk發(fā)生的概率估計值。所以:一個歸一化直方圖,其所有部分之和應(yīng)等于1。

2、為什么要做直方圖均衡化

在圖像處理應(yīng)用中,若得到這樣一副圖片,其圖像的灰度分布集中在較窄的范圍內(nèi),那么視覺上就給人感覺,對比度較差,細(xì)節(jié)不夠清晰。為了使圖像變得清晰,就需要提升對比度。

如何提升對比度呢?即,對圖像進(jìn)行非線性拉伸,使得圖像的灰度分布變寬,灰度值分布變得均勻。這樣,在灰度級范圍內(nèi),像素的個數(shù)分布大致相同,就會使得圖像的對比度增強(qiáng),細(xì)節(jié)更清晰。

那么,又如何使得灰度值分布均勻呢?即,直方圖均衡化。

3、直方圖均衡化原理

直方圖均衡化,即將隨機(jī)分布的圖像直方圖修改成均勻分布的直方圖。

基本思想:對原始圖像的像素灰度做某種映射變換,使變換后圖像灰度的概率密度呈均勻分布。這就意味著圖像灰度的動態(tài)范圍得到了增加, 提高了圖像的對比度。

作用:圖像增強(qiáng)。

那么,我們使用哪種映射變換呢?答案是:累積分布函數(shù)。

說明:

①為什么要選用累積分布函數(shù)?

②為什么使用累積分布函數(shù)處理后,像素值會均勻分布?

直方圖均衡化,必須保證:

①像素值經(jīng)過映射變換后,原本的大小關(guān)系不能發(fā)生改變。即較亮的區(qū)域,依舊較亮;較暗的區(qū)域,依舊較暗。只是增大了對比度,絕對不能明暗顛倒,否則就不是原本的圖像了。

②像素值經(jīng)過映射變換后,不能越界。例如:8bit圖像,像素值范圍0~255;12bit圖像,像素值0~4095。

由于累積分布函數(shù)是單調(diào)遞增函數(shù),可以滿足條件①;又因?yàn)槔鄯e分布函數(shù)的值域?yàn)?~1,可以控制越界,滿足條件②。

實(shí)則,有嚴(yán)謹(jǐn)?shù)臄?shù)學(xué)推導(dǎo)過程,在此直接給出公式,具體可以參考《數(shù)字圖像處理---岡薩雷斯》一書。


其中,H(i)表示圖像直方圖,nk/n表示歸一化。

所以,直方圖均衡化實(shí)現(xiàn)過程:

(1)求圖像直方圖;(統(tǒng)計直方圖每個灰度級出現(xiàn)的次數(shù))

(2)做歸一化處理;

(3)計算新的像素值。

4、FPGA實(shí)現(xiàn)

FPGA實(shí)現(xiàn)的核心在于算法,弄清楚原理,寫代碼只是一個機(jī)械的過程。假設(shè)一副圖像:2464x2056, 8bit,即共有5065984個像素點(diǎn),256個灰度級。參照上述直方圖均衡化的步驟,用FPGA實(shí)現(xiàn),效果展示如下:

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598900
  • 圖像處理
    +關(guān)注

    關(guān)注

    26

    文章

    1268

    瀏覽量

    56369
收藏 人收藏

    評論

    相關(guān)推薦

    基于直方圖算法進(jìn)行FPGA架構(gòu)設(shè)計

    引言 直方圖統(tǒng)計在圖像增強(qiáng)和目標(biāo)檢測領(lǐng)域有重要應(yīng)用,比如直方圖均衡,梯度直方圖。直方圖的不同種類和統(tǒng)計方法請見之前的文章。本章就是用
    的頭像 發(fā)表于 12-10 16:37 ?2631次閱讀

    為什么不能直接對RGB圖做直方圖均衡

    相信好多人在開始學(xué)習(xí)FPGA圖像處理的時候都是接觸的RGB轉(zhuǎn)灰度圖,Sobel圖像檢測,直方圖均衡這樣的算法。
    的頭像 發(fā)表于 01-02 09:41 ?912次閱讀
    為什么不能直接對RGB圖做<b class='flag-5'>直方圖</b><b class='flag-5'>均衡</b><b class='flag-5'>化</b>

    一文帶你了解FPGA直方圖操作

    灰度變換等處理過程。 而FPGA對于直方圖處理主要分為以下三種: 我們常見或者聽說的直方圖概念主要指直方圖均衡,這也是最簡單的一種方式,常
    發(fā)表于 01-10 15:07

    DSP國產(chǎn)教學(xué)實(shí)驗(yàn)箱_嵌入式教程:5-7 直方圖均衡

    一、實(shí)驗(yàn)?zāi)康?學(xué)習(xí)直方圖均衡的原理,掌握圖像的讀取方法,并實(shí)現(xiàn)直方圖均衡,增強(qiáng)圖片效果。 二
    發(fā)表于 07-12 15:47

    急求直方圖均衡的Verilog代碼或者是FPGA上算法處理的資料,多謝了

    急求直方圖均衡的Verilog代碼或者是FPGA上算法處理的資料,多謝了
    發(fā)表于 06-02 17:51

    使用LabVIEW編程實(shí)現(xiàn)直方圖均衡

    使用LabVIEW編程實(shí)現(xiàn)直方圖均衡
    發(fā)表于 11-13 20:24

    基于FPGA的圖像直方圖均衡處理

    `基于FPGA的圖像直方圖均衡處理 AT7_Xilinx開發(fā)板(USB3.0+LVDS)資料共享 騰訊鏈接:https://share.weiyun.com/5GQyKKc 百度網(wǎng)盤鏈接:https
    發(fā)表于 07-14 17:26

    【干貨】基于FPGA的圖像處理(圖像增強(qiáng))之直方圖均衡

    最近一直在用FPGA調(diào)圖像處理相關(guān)的算法,主要是集中在圖像增強(qiáng)和增晰方面。現(xiàn)在來介紹一個復(fù)雜度不高,但確實(shí)也還比較好用的圖像增強(qiáng)算法-直方圖均衡直方圖
    發(fā)表于 12-08 09:40

    TI C6000教學(xué)實(shí)驗(yàn)箱操作教程:5-8 直方圖均衡(LCD顯示)

    一、實(shí)驗(yàn)?zāi)康?學(xué)習(xí)直方圖均衡的原理,掌握圖像的讀取方法,并實(shí)現(xiàn)在LCD上顯示直方圖均衡前后的
    發(fā)表于 12-07 14:20

    保持圖像細(xì)節(jié)的直方圖均衡算法

    對常規(guī)的直方圖均衡算法進(jìn)行了改進(jìn),避免了不同灰度的像素均衡后被合并、圖像細(xì)節(jié)丟失等現(xiàn)象。實(shí)驗(yàn)結(jié)果表明,改進(jìn)的直方圖均衡新算法既能充分增強(qiáng)圖像
    發(fā)表于 11-09 16:50 ?21次下載

    直方圖均衡_《OpenCV3編程入門》書本配套源代碼

    《OpenCV3編程入門》書本配套源代碼:直方圖均衡
    發(fā)表于 06-06 15:52 ?6次下載

    直方圖均衡_OpenCV3編程入門-源碼例程

    OpenCV3編程入門-源碼例程全集-直方圖均衡,感興趣的小伙伴們可以瞧一瞧。
    發(fā)表于 09-18 17:15 ?2次下載

    MATLAB如何實(shí)現(xiàn)圖像增強(qiáng)灰度變換直方圖均衡匹配

    的四種灰度變換;使用 MATLAB 自帶的 imadjust 函數(shù);以及直方圖均衡和規(guī)定(匹配)處理的圖像增強(qiáng)方法。
    發(fā)表于 01-13 21:56 ?1w次閱讀
    MATLAB如何實(shí)現(xiàn)圖像增強(qiáng)灰度變換<b class='flag-5'>直方圖</b><b class='flag-5'>均衡</b>匹配

    基于直方圖算法的FPGA設(shè)計架構(gòu)

    直方圖統(tǒng)計在圖像增強(qiáng)和目標(biāo)檢測領(lǐng)域有重要應(yīng)用,比如直方圖均衡,梯度直方圖直方圖的不同種類和統(tǒng)計方法請見之前的文章。本章就是用
    發(fā)表于 04-20 10:47 ?1147次閱讀
    基于<b class='flag-5'>直方圖</b>算法的<b class='flag-5'>FPGA</b>設(shè)計架構(gòu)

    直方圖均衡的原理及OpenCV的算法和代碼實(shí)現(xiàn)免費(fèi)下載

    直方圖均衡是想把左邊的直方圖變換為右邊的直方圖,使各個灰度值上的像素個數(shù)均勻分布,這樣圖像整體的亮度分布較均勻,不會過亮或過暗,同時可以增
    發(fā)表于 09-25 11:10 ?8次下載
    <b class='flag-5'>直方圖</b><b class='flag-5'>均衡</b><b class='flag-5'>化</b>的原理及OpenCV的算法和代碼實(shí)現(xiàn)免費(fèi)下載