0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

時(shí)序分析的基本概念及常規(guī)時(shí)序路徑的組成

電子設(shè)計(jì) ? 來(lái)源:CSDN博主 ? 作者:Sea_Sand ? 2020-11-25 15:27 ? 次閱讀

1、發(fā)起沿和捕獲沿(Launch edge & Capture edge)

② Launch edge是發(fā)送數(shù)據(jù)的時(shí)鐘邊沿,通常選擇上升沿。

③ Capture edge是捕獲段捕獲到該數(shù)據(jù)的時(shí)鐘邊沿。

④ 通常情況下這兩個(gè)邊沿會(huì)有一個(gè)時(shí)鐘周期的差別。

2、時(shí)序路徑Timing path典型時(shí)序路徑有四種)

② 第一類時(shí)序路徑(紅色)
- 從device A的時(shí)鐘到FPGA的第一級(jí)寄存器的輸入端口。

③ 第二類時(shí)序路徑
- 兩個(gè)同步元件之間的路徑(rega到regb藍(lán)色)

④ 第三類時(shí)序路徑
- 最后一集寄存器到device B數(shù)據(jù)端口的路徑(黃色)

⑤ 第四類時(shí)序路徑
- 端口到端口的路徑(dinb到dinb綠色)

⑥ 我們要關(guān)注的是這些路徑的
- 起始點(diǎn)(start point)記作S
- 終止點(diǎn)(end point)記作E
-


- 前三條路徑的起始點(diǎn),都是發(fā)送寄存器的時(shí)鐘端口,終止點(diǎn)都是接收寄存器的數(shù)據(jù)端口。

⑦ 常規(guī)時(shí)序路徑的組成
- 我們給出基本模型(可看出rega 和 regb時(shí)鐘是不同的)
-


- 源端時(shí)鐘路徑(紅色) 數(shù)據(jù)路徑(藍(lán)色) 和目的段時(shí)鐘路徑(黃色)
-

3、數(shù)據(jù)到達(dá)時(shí)間和數(shù)據(jù)需求時(shí)間

① 數(shù)據(jù)到達(dá)時(shí)間
-


- 數(shù)據(jù)在發(fā)送沿發(fā)送之后,經(jīng)過(guò)多長(zhǎng)時(shí)間會(huì)到達(dá)接收寄存器的數(shù)據(jù)端口,即到達(dá)regb的D端口的時(shí)刻,這個(gè)時(shí)刻就是數(shù)據(jù)到達(dá)時(shí)間。
- 我們需要選擇一個(gè)參考(基準(zhǔn))時(shí)間點(diǎn)。通常選擇launch edge作為零時(shí)刻基準(zhǔn)點(diǎn)。
- 數(shù)據(jù)經(jīng)過(guò)Tco時(shí)間,到達(dá)Q端口。
- 數(shù)據(jù)從Q端口,要經(jīng)過(guò)組合邏輯,以及布線的線延時(shí)才能到達(dá)接收短的D端口(Tdata)。
- 故有公式如下:
-


- 選擇launch edge作為零時(shí)刻基準(zhǔn)點(diǎn)。

② 時(shí)鐘到達(dá)時(shí)間(clock Arrival Time)
- 觀察捕獲寄存器的時(shí)鐘。
-


- 選擇launch edge作為零時(shí)刻基準(zhǔn)點(diǎn)。其實(shí)是launch edge加上一個(gè)時(shí)鐘周期。

4、建立時(shí)間的裕量和保持時(shí)間的裕量(Setup slack & Hold Slack)

① 建立時(shí)間(數(shù)據(jù)需求時(shí)間:Tsu)
-


- 數(shù)據(jù)可以被穩(wěn)定的捕獲到的最小時(shí)間。
- 我們?nèi)匀魂P(guān)注捕獲寄存器。
- 數(shù)據(jù)需要在時(shí)鐘捕獲沿到達(dá)之前的一段時(shí)間,必須到達(dá)。相當(dāng)于是數(shù)據(jù)到達(dá)regb的時(shí)鐘端口 和 regb的時(shí)鐘處于capture edge這兩個(gè)情況同時(shí)滿足的時(shí)候,才可以進(jìn)行接收數(shù)據(jù)。
- 換句話就是說(shuō),數(shù)據(jù)至少提前時(shí)鐘Tsu的時(shí)間到達(dá)捕獲寄存器的數(shù)據(jù)端口。
- 數(shù)據(jù)所需要的時(shí)間是
-


- 其中Set up Uncertainty(一般是時(shí)間抖動(dòng)造成的Uncertainty時(shí)間)。

② 保持時(shí)間情況下的數(shù)據(jù)需求時(shí)間(Data Request Time-Hold)
-


- 當(dāng)我們捕獲到數(shù)據(jù)之后,數(shù)據(jù)還應(yīng)該穩(wěn)定的存在一定時(shí)間。
- 除了有Tlckb的時(shí)鐘延時(shí)之外,還要看到捕獲寄存器本身的保持時(shí)間需求。
- Tclkb時(shí)鐘延時(shí),然后數(shù)據(jù)到達(dá)后,至少還需要Th的保持時(shí)間。
-

③ Tsu和Th確定了數(shù)據(jù)的有效窗口。
- 數(shù)據(jù)有效窗口的起始沿就是Tsu
- 終止端口就是Th。

④ 建立時(shí)間裕量(Setup Slack)
- 在做時(shí)序分析時(shí),我們的建立時(shí)間的需求可以滿足,那么這條路徑上發(fā)送的數(shù)據(jù),就可以被目的寄存器穩(wěn)定的捕獲到。
- 我們來(lái)看一下我們的模型:
-


- Tclka
- Tco
- Tdata
- Tclkb
- Tsu
- 數(shù)據(jù)需求時(shí)間(Tsu)-當(dāng)前數(shù)據(jù)到達(dá)時(shí)間
-


-

⑤ 保持時(shí)間的裕量(Hold Slack)新的數(shù)據(jù)不能太早的到達(dá),否則就破壞了原來(lái)的數(shù)據(jù)
-


- Tclka
- Tco
- Tdata
- Tclkb
- Th
- 新的數(shù)據(jù)到達(dá)時(shí)間-數(shù)據(jù)需求時(shí)間(Th)
-


-

⑥ 如果Slack為正,說(shuō)明我們的時(shí)序是滿足的。

⑦ 為什么會(huì)出現(xiàn)Slack為負(fù)的情況?
- 對(duì)于Set up Slack為負(fù)的情況
- 數(shù)據(jù)延時(shí)太大,導(dǎo)致數(shù)據(jù)建立時(shí)間Tsu不夠了,見(jiàn)下圖:
-


- Hold Slack為負(fù)
- 時(shí)鐘的延遲太大,導(dǎo)致當(dāng)前data的Capture time到來(lái)的時(shí)候,已經(jīng)在傳下一個(gè)data了。
-

⑧ Tdata = Tlogic(組合邏輯,邏輯門的延時(shí))+Tnet(布線,線的延時(shí))
- Tlogic:主要和我們的代碼風(fēng)格有關(guān)。
- Tnet:可能跟我們的布局布線的策略有關(guān)。

⑨ 系統(tǒng)的時(shí)鐘頻率Ts >= Tco + Tdata + Tsu這三者決定了系統(tǒng)的時(shí)鐘的最高頻率。

5、總結(jié):

① 通常我們都是以Launch edge作為零時(shí)刻點(diǎn)(參考時(shí)刻點(diǎn))

② 通常Capture edge time = Launch edge time + 1 clock cycle

③ Tsu和Th是芯片決定的。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119212
  • 時(shí)序分析
    +關(guān)注

    關(guān)注

    2

    文章

    127

    瀏覽量

    22527
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    時(shí)序分析中的一些基本概念

    時(shí)序分析是FPGA設(shè)計(jì)中永恒的話題,也是FPGA開(kāi)發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來(lái),先介紹時(shí)序分析中的一些基本概念。
    發(fā)表于 10-21 09:28 ?1830次閱讀

    時(shí)序分析中的一些基本概念

    時(shí)序分析時(shí)FPGA設(shè)計(jì)中永恒的話題,也是FPGA開(kāi)發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來(lái),先介紹時(shí)序分析中的一些基本概念
    發(fā)表于 02-11 19:08 ?4166次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>中的一些<b class='flag-5'>基本概念</b>

    時(shí)序分析基本概念——STA概述簡(jiǎn)析

    時(shí)序分析基本概念介紹——STA概述,動(dòng)態(tài)時(shí)序分析,主要是通過(guò)輸入向量作為激勵(lì),來(lái)驗(yàn)證整個(gè)設(shè)計(jì)的時(shí)序
    的頭像 發(fā)表于 12-14 17:01 ?2.9w次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>——STA概述簡(jiǎn)析

    時(shí)序分析基本概念介紹——時(shí)序庫(kù)Lib,除了這些你還想知道什么?

    時(shí)序分析基本概念介紹——時(shí)序庫(kù)Lib。用于描述物理單元的時(shí)序和功耗信息的重要庫(kù)文件。lib庫(kù)是最基本的時(shí)
    的頭像 發(fā)表于 12-15 17:11 ?1.2w次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>介紹——<b class='flag-5'>時(shí)序</b>庫(kù)Lib,除了這些你還想知道什么?

    詳細(xì)介紹時(shí)序基本概念Timing arc

    時(shí)序分析基本概念介紹——Timing Arc
    的頭像 發(fā)表于 01-02 09:29 ?2.4w次閱讀
    詳細(xì)介紹<b class='flag-5'>時(shí)序</b><b class='flag-5'>基本概念</b>Timing arc

    時(shí)序分析基本概念介紹

    今天我們要介紹的時(shí)序分析概念是Combinational logic. 中文名組合邏輯單元。這是邏輯單元的基本組成器件。比如我們常見(jiàn)的and, or, not, nand,nor等門電
    的頭像 發(fā)表于 05-14 17:27 ?5635次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>介紹

    時(shí)序分析時(shí)序約束的基本概念詳細(xì)說(shuō)明

    時(shí)序分析時(shí)FPGA設(shè)計(jì)中永恒的話題,也是FPGA開(kāi)發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來(lái),先介紹時(shí)序分析中的一些基本概念。
    發(fā)表于 01-08 16:57 ?28次下載
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>和<b class='flag-5'>時(shí)序</b>約束的<b class='flag-5'>基本概念</b>詳細(xì)說(shuō)明

    FPGA設(shè)計(jì)中時(shí)序分析基本概念

    時(shí)序分析時(shí)FPGA設(shè)計(jì)中永恒的話題,也是FPGA開(kāi)發(fā)人員設(shè)計(jì)進(jìn)階的必由之路。慢慢來(lái),先介紹時(shí)序分析中的一些基本概念
    的頭像 發(fā)表于 03-18 11:07 ?2543次閱讀

    靜態(tài)時(shí)序分析基本概念和方法

    向量和動(dòng)態(tài)仿真 。本文將介紹靜態(tài)時(shí)序分析基本概念和方法,包括時(shí)序約束,時(shí)序路徑,
    的頭像 發(fā)表于 06-28 09:38 ?1270次閱讀
    靜態(tài)<b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>和方法

    介紹時(shí)序分析基本概念lookup table

    今天要介紹的時(shí)序分析基本概念是lookup table。中文全稱時(shí)序查找表。
    的頭像 發(fā)表于 07-03 14:30 ?1242次閱讀
    介紹<b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    介紹時(shí)序分析基本概念MMMC

    今天我們要介紹的時(shí)序分析基本概念是MMMC分析(MCMM)。全稱是multi-mode, multi-corner, 多模式多端角分析模式。
    的頭像 發(fā)表于 07-04 15:40 ?2242次閱讀
    介紹<b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>MMMC

    時(shí)序分析Slew/Transition基本概念介紹

    今天要介紹的時(shí)序分析基本概念是Slew,信號(hào)轉(zhuǎn)換時(shí)間,也被稱為transition time。
    的頭像 發(fā)表于 07-05 14:50 ?2540次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b>Slew/Transition<b class='flag-5'>基本概念</b>介紹

    什么是時(shí)序路徑timing path呢?

    今天我們要介紹的時(shí)序分析概念是 **時(shí)序路徑** (Timing Path)。STA軟件是基于timing path來(lái)
    的頭像 發(fā)表于 07-05 14:54 ?1801次閱讀
    什么是<b class='flag-5'>時(shí)序</b><b class='flag-5'>路徑</b>timing path呢?

    時(shí)序分析基本概念介紹—Timing Arc

    今天我們要介紹的時(shí)序基本概念是Timing arc,中文名時(shí)序弧。這是timing計(jì)算最基本的組成元素,在昨天的lib庫(kù)介紹中,大部分時(shí)序
    的頭像 發(fā)表于 07-06 15:00 ?2634次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>介紹—Timing Arc

    時(shí)序分析基本概念解析

    正如“聚合”的意思(字典)“兩個(gè)或多個(gè)事物聚集在一起的發(fā)生”。所以我們可以假設(shè)它也與 2 個(gè)時(shí)鐘路徑聚集在一起有關(guān)。 (了解時(shí)鐘路徑請(qǐng)參考另一篇博客-靜態(tài)時(shí)序分析基礎(chǔ):第1部分“
    的頭像 發(fā)表于 08-08 10:31 ?909次閱讀
    <b class='flag-5'>時(shí)序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b>解析