0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ZYNQ學(xué)習(xí)案例分析:PL流水燈設(shè)計(jì)方案

454398 ? 來(lái)源:CSDN博主 ? 作者:鵬哥DIY ? 2020-11-26 11:34 ? 次閱讀

對(duì)ZYNQ的學(xué)習(xí)的渴望由來(lái)已久,前不久買了一個(gè)基于xc7z010的開發(fā)板,現(xiàn)在將自己的學(xué)習(xí)的進(jìn)階之路記錄在此,希望能給想要入門的ZYNQ學(xué)習(xí)者一點(diǎn)幫助,本人也剛開始學(xué)習(xí),能力有限,其中若有不足之處希望大家多多交流,以便共同進(jìn)步!

xilinx ZYNQ-7000系列芯片處理器的軟件可編程能力與FPGA硬件可編程能力實(shí)現(xiàn)了完美結(jié)合,有低功耗和低成本等系統(tǒng)優(yōu)勢(shì),可以實(shí)現(xiàn)無(wú)與倫比的系統(tǒng)性能、靈活性和可擴(kuò)展性,同時(shí)可以加速產(chǎn)品的上市進(jìn)程。與傳統(tǒng)的SoC處理解決方案不同,ZYNQ-7000器件的靈活可編程邏輯能實(shí)現(xiàn)優(yōu)化與差異化功能,使設(shè)計(jì)人員可以根據(jù)大部分應(yīng)用的要求添加外設(shè)和加速器。

下面我們從最基本的LED流水燈開始ZYNQ的進(jìn)階之路,教程使用的開發(fā)板使用的是xc7z010這顆芯片,芯片內(nèi)部包含雙核cortex-A9硬核處理器和Aritx-7 FPGA(PS+PL),本節(jié)我們先使用PL部分實(shí)現(xiàn)流水燈。首先我們要安裝vivado 軟件,

鏈接:https://pan.baidu.com/s/16IXGO5ckhFP_ov6kNAT3Jg
提取碼:ed5m

這里我們就不費(fèi)時(shí)間去講解如何安裝軟件了,安裝教程百度比比皆是,我們使用的軟件版本是vivado2017.1版。

其次我們要知道如何創(chuàng)建ZYNQ工程,不步驟如下:

1、打開軟件,創(chuàng)建新工程

創(chuàng)造verilog文件:


工程建立好以后界面如下所示:


2、編寫流水燈verilog代碼。

module LED(
 //sys signal
 sys_clk_50m,
 reset_n,
 //led
 led
  );
parameter LED_FREQUENCY = 49999999;
parameter UDLY = 1;
 //sys signal
input         sys_clk_50m;
input         reset_n;
 //led
output   [3:0]     led;

 //sys signal
wire         sys_clk_50m;
wire         reset_n;
 //led
reg    [3:0]     led; 
 
 
reg    [25:0]     led_count;
always @(posedge sys_clk_50m or negedge reset_n)begin
 if(!reset_n)begin
  led <= 4'b0001;
?? ??? ?led_count <= 26'd0;
?? ?end
?? ?else if(led_count == 26'd49_999_999)begin//1s count,50M
?? ??? ?led_count <= #UDLY 26'd0;
?? ??? ?led <= #UDLY {led[2:0],led[3]};
?? ?end
?? ?else begin
?? ??? ?led_count <= #UDLY led_count + 26'd1;
?? ?end
end
?? ?
endmodule

3、時(shí)鐘與引腳約束

首先跑RTL

然后點(diǎn)擊Layout->I/O Planning

約束引腳:


設(shè)置完成,保存設(shè)置,點(diǎn)擊OK


點(diǎn)擊Run Synthesis綜合工程,可能需要一分鐘時(shí)間:


約束時(shí)鐘,點(diǎn)擊Constraints Wizard:


點(diǎn)擊Next:

開發(fā)板時(shí)鐘為50Mhz,點(diǎn)擊Next,然后點(diǎn)擊Skip to Finish完成時(shí)鐘約束:


4、生成bit文件

點(diǎn)擊Generate Bitstream生成bit文件

5、將程序下載到開發(fā)板中

將開發(fā)板上電,連接JTAG

點(diǎn)擊Open Hardware Manager連接硬件

顯示如下畫面表示連接上ZYNQ芯片

點(diǎn)擊Program device下載bit文件到設(shè)備中

然后我們的流水燈就愉快的跑了起來(lái)!

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 流水燈
    +關(guān)注

    關(guān)注

    21

    文章

    432

    瀏覽量

    59470
  • Zynq
    +關(guān)注

    關(guān)注

    9

    文章

    604

    瀏覽量

    47014
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    電磁軌跡預(yù)測(cè)分析系統(tǒng)設(shè)計(jì)方案

    智慧華盛恒輝電磁軌跡預(yù)測(cè)分析系統(tǒng)的設(shè)計(jì)方案是一個(gè)綜合性的項(xiàng)目,它結(jié)合了電磁學(xué)、運(yùn)動(dòng)學(xué)、數(shù)據(jù)分析以及可能的人工智能或機(jī)器學(xué)習(xí)技術(shù),以實(shí)現(xiàn)對(duì)電磁運(yùn)動(dòng)軌跡的精確預(yù)測(cè)和深入
    的頭像 發(fā)表于 07-15 16:22 ?266次閱讀

    單片機(jī)POV LED流水燈制作

    電子發(fā)燒友網(wǎng)站提供《單片機(jī)POV LED流水燈制作.docx》資料免費(fèi)下載
    發(fā)表于 05-28 14:34 ?2次下載

    51單片機(jī)流水燈制作

    ?流水燈學(xué)習(xí)51單片機(jī)的一個(gè)基本項(xiàng)目,是我們對(duì)單片機(jī)IO口輸出認(rèn)識(shí)的基本項(xiàng)目。當(dāng)然學(xué)會(huì)了花樣流水燈也很好玩。 下面就分享一種51單片機(jī)流水燈制作的方法。 一、
    的頭像 發(fā)表于 02-26 10:06 ?1158次閱讀
    51單片機(jī)<b class='flag-5'>流水燈</b>制作

    PLC控制8盞流水燈電路原理圖和實(shí)際接線圖詳解

    PLC控制8盞流水燈電路原理圖和實(shí)際接線圖
    的頭像 發(fā)表于 12-27 11:47 ?5325次閱讀
    PLC控制8盞<b class='flag-5'>流水燈</b>電路原理圖和實(shí)際接線圖詳解

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈

    實(shí)驗(yàn)?zāi)康?熟悉PDS開發(fā)流程,掌握流水燈原理并實(shí)現(xiàn)流水燈。 實(shí)驗(yàn)要求 設(shè)計(jì)8個(gè)LED以0.5s間隔接替點(diǎn)亮。 學(xué)習(xí)心得 實(shí)現(xiàn)了IO控制,點(diǎn)亮了LED。 實(shí)現(xiàn)了LED逆序點(diǎn)亮。LED8-->1點(diǎn)亮方式。
    發(fā)表于 12-10 16:39

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈實(shí)驗(yàn)學(xué)習(xí)

    實(shí)驗(yàn)?zāi)康?熟悉PDS開發(fā)流程,掌握流水燈原理并實(shí)現(xiàn)流水燈 實(shí)驗(yàn)要求 設(shè)計(jì)8個(gè)LED以0.5s間隔接替點(diǎn)亮 實(shí)現(xiàn)代碼 `define UD #1 module led_light
    發(fā)表于 12-09 14:20

    利用SCR步進(jìn)指令實(shí)現(xiàn)流水燈循環(huán)點(diǎn)亮

    在以往介紹西門子smart文章中,提到過流水燈的寫法,有用循環(huán)位移字[ROL(R)_B]節(jié)來(lái)實(shí)現(xiàn),有利用定時(shí)器(TON)來(lái)實(shí)現(xiàn)。今天我們利用SCR步進(jìn)指令來(lái)實(shí)現(xiàn)流水燈循環(huán)點(diǎn)亮,在作這個(gè)實(shí)驗(yàn)前提必須滿足如下幾個(gè)軟硬件條件:
    的頭像 發(fā)表于 12-04 09:15 ?1585次閱讀
    利用SCR步進(jìn)指令實(shí)現(xiàn)<b class='flag-5'>流水燈</b>循環(huán)點(diǎn)亮

    如何利用SCR步進(jìn)指令來(lái)實(shí)現(xiàn)流水燈循環(huán)點(diǎn)亮?

    在以往介紹西門子smart文章中,提到過流水燈的寫法,有用循環(huán)位移字[ROL(R)_B]節(jié)來(lái)實(shí)現(xiàn),有利用定時(shí)器(TON)來(lái)實(shí)現(xiàn)。
    的頭像 發(fā)表于 12-04 09:14 ?2169次閱讀
    如何利用SCR步進(jìn)指令來(lái)實(shí)現(xiàn)<b class='flag-5'>流水燈</b>循環(huán)點(diǎn)亮?

    AD9681是否可被zynq-7020的pl端驅(qū)動(dòng)?

    您好: 我想咨詢AD9681是否可以被zynq-7020的PL端驅(qū)動(dòng)(zynq7020的性能是否足夠)。我們需要做衛(wèi)星的探測(cè)載荷,由于衛(wèi)星能源控制嚴(yán)格,我們需要低功耗、多通道(至少8個(gè))、高采樣率
    發(fā)表于 12-04 08:18

    【每周一練】盤古1K開發(fā)板 練習(xí)一:LED流水燈實(shí)驗(yàn)

    實(shí)驗(yàn)?zāi)康模?熟悉PDS開發(fā)流程,掌握流水燈原理并實(shí)現(xiàn)流水燈 實(shí)驗(yàn)要求: 設(shè)計(jì)8個(gè)LED以0.5s間隔接替點(diǎn)亮
    發(fā)表于 11-21 11:32

    分享花樣流水燈源程序

    電子發(fā)燒友網(wǎng)站提供《分享花樣流水燈源程序.doc》資料免費(fèi)下載
    發(fā)表于 11-18 09:59 ?3次下載
    分享花樣<b class='flag-5'>流水燈</b>源程序

    基于單片機(jī)的流水燈電路設(shè)計(jì)

    前面一課掌握了嵌入式程序源代碼級(jí)調(diào)試,為復(fù)雜單片機(jī)實(shí)驗(yàn)程序的調(diào)試做好了準(zhǔn)備工作。本次實(shí)驗(yàn)完成流水燈電路模型設(shè)計(jì)和編程,在實(shí)驗(yàn)過程中,學(xué)習(xí)流水燈電路設(shè)計(jì)、編寫延遲函數(shù)、循環(huán)移位宏定義。
    的頭像 發(fā)表于 10-31 14:56 ?2813次閱讀
    基于單片機(jī)的<b class='flag-5'>流水燈</b>電路設(shè)計(jì)

    怎么設(shè)置讓流水燈加速?

    流水燈需要可以加速四段
    發(fā)表于 10-30 06:05

    求分享c語(yǔ)言的51單片機(jī)流水燈循環(huán)流水程序

    [i][i][i][i] c語(yǔ)言 的51單片機(jī)流水燈循環(huán)流水程序
    發(fā)表于 10-18 06:57

    八只流水燈當(dāng)開關(guān)k1按下時(shí)流水燈循環(huán),開關(guān)k2按下時(shí)停止循環(huán)是為什么?

    單片機(jī)proteus仿真:八只流水燈當(dāng)開關(guān)k1按下時(shí)流水燈循環(huán),開關(guān)k2按下時(shí)停止循環(huán)
    發(fā)表于 09-27 06:15