0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

【vivado學(xué)習(xí)】典型時序模型的三條時鐘路徑分析

電子設(shè)計 ? 來源: FPGA開源工作室 ? 作者: FPGA開源工作室 ? 2020-11-26 14:16 ? 次閱讀

典型的時序模型由發(fā)起寄存器、組合邏輯和捕獲寄存器3部分組成,如圖1所示形成了三條時鐘路徑:原時鐘路徑(Source Clock path)、數(shù)據(jù)時鐘路徑(Data path)、目的時鐘路徑(Destination Clock path)。

圖1 時序模型1

1、建立時間(setup)和保持時間(hold)

如圖1所示,時鐘上升邊沿(Capture Edge 、Next Launch Edge)會將數(shù)據(jù)保存下來,但是必須要滿足一定的條件:

A,建立時間Tsu:在時鐘有效沿之前,數(shù)據(jù)必須保持穩(wěn)定的最小時間;

B,保持時間Th:在時鐘有效沿之后,數(shù)據(jù)必須保持穩(wěn)定的最小時間;

這就相當(dāng)于一個窗口時間,在有效邊沿的窗口時間內(nèi),數(shù)據(jù)必須保持穩(wěn)定;這里的時鐘信號時序和數(shù)據(jù)信號時序,都是寄存器實際感受到的時序。

2、發(fā)起沿和捕獲沿

如圖1所示,發(fā)起沿和捕獲沿通常相差一個時鐘周期同時捕獲沿也是下一個發(fā)起沿。

發(fā)起沿(LaunchEdge):數(shù)據(jù)被launch的時鐘邊沿;也就是說,每一個啟動沿,一般都會產(chǎn)生一個新的數(shù)據(jù)!

捕獲沿(CaptureEdge):數(shù)據(jù)被latch的時鐘邊沿;也就是說,每一個鎖存沿,都會有一個新的數(shù)據(jù)被保存!

3、時序模型2

如圖2所示:

Clk--時鐘源

Rega--發(fā)起寄存器

Regb--捕獲寄存器

Tclka--原時鐘延時

Tclkb--目的時鐘延時

Tco--發(fā)起沿有效到數(shù)據(jù)出現(xiàn)在發(fā)起寄存器Q端口所需時間

Tdata--數(shù)據(jù)延時(組合邏輯和走線延時)

Tsu--捕獲寄存器建立時間

Th--捕獲寄存器保持時間

圖2 時序模型2

4、數(shù)據(jù)到達(dá)時間(Data Arrival Time)

圖3 數(shù)據(jù)到達(dá)時間

數(shù)據(jù)到達(dá)時間(Data Arrival Time)=Launch Edge +Tclka+Tco+Tdata

已發(fā)起沿為時間參考點,LaunchEdge 通常為0。

5、數(shù)據(jù)建立需求時間(setup)


數(shù)據(jù)建立需求時間(DataRequired Time(setup)) = Tclkb-Tsu-Clock Uncertainty

表明數(shù)據(jù)必須提前Tsu穩(wěn)定存在于捕獲寄存器的輸入端口。

6、數(shù)據(jù)保持需求時間(hold)


數(shù)據(jù)保持需求時間(DataRequired Time(hold))=Tclkb +Th-Clock Uncertainty

表明數(shù)據(jù)必須在時鐘捕獲沿(regb/clk)之后穩(wěn)定存在一段時間Th。

7、建立時間裕量(Setup Slack)


建立時間裕量(SetupSlack)= Data Required Time(setup)-Data Arrival Time(setup)

如果SetupSlack為正,則說明數(shù)據(jù)在規(guī)定的時間內(nèi)達(dá)到了目標(biāo)。反之,則認(rèn)為數(shù)據(jù)并沒有在規(guī)定的時間達(dá)到目標(biāo),此時REG2鎖存的數(shù)據(jù)很有可能存在亞穩(wěn)態(tài)。

8、保持時間裕量(Hold Slack)


保持時間裕量(holdSlack)=DataRequired Time(hold)-Data Arrival Time(hold)

如果為正,則認(rèn)為數(shù)據(jù)在被鎖存的時候有足夠多的穩(wěn)定時間,是有效的。反之則認(rèn)為數(shù)據(jù)有誤或者數(shù)據(jù)可能存在亞穩(wěn)態(tài)。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119212
  • 數(shù)據(jù)信號
    +關(guān)注

    關(guān)注

    0

    文章

    54

    瀏覽量

    11938
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65856
收藏 人收藏

    評論

    相關(guān)推薦

    深度學(xué)習(xí)典型模型和訓(xùn)練過程

    深度學(xué)習(xí)作為人工智能領(lǐng)域的一個重要分支,近年來在圖像識別、語音識別、自然語言處理等多個領(lǐng)域取得了顯著進(jìn)展。其核心在于通過構(gòu)建復(fù)雜的神經(jīng)網(wǎng)絡(luò)模型,從大規(guī)模數(shù)據(jù)中自動學(xué)習(xí)并提取特征,進(jìn)而實現(xiàn)高效準(zhǔn)確的預(yù)測和分類。本文將深入解讀深度
    的頭像 發(fā)表于 07-03 16:06 ?689次閱讀

    FPGA 高級設(shè)計:時序分析和收斂

    、16ns、17ns、18ns,有兩條路徑能夠滿足要求,布局布線就會選擇滿足要求的兩條路徑之一。 圖 1 靜態(tài)時序分析模型
    發(fā)表于 06-17 17:07

    Xilinx FPGA編程技巧之常用時序約束詳解

    Register-to-Register Constraint 寄存器到寄存器約束往往指的是周期約束,周期約束的覆蓋范圍包括: 覆蓋了時鐘域的時序要求 覆蓋了同步數(shù)據(jù)在內(nèi)部寄存器之間的傳輸 分析一個單獨(dú)的
    發(fā)表于 05-06 15:51

    FPGA工程的時序約束實踐案例

    詳細(xì)的原時鐘時序、數(shù)據(jù)路徑時序、目標(biāo)時鐘時序的各延遲數(shù)據(jù)如下圖所示。值得注意的是數(shù)據(jù)
    發(fā)表于 04-29 10:39 ?480次閱讀
    FPGA工程的<b class='flag-5'>時序</b>約束實踐案例

    Xilinx FPGA編程技巧之常用時序約束詳解

    寄存器到寄存器約束往往指的是周期約束,周期約束的覆蓋范圍包括: 覆蓋了時鐘域的時序要求 覆蓋了同步數(shù)據(jù)在內(nèi)部寄存器之間的傳輸 分析一個單獨(dú)的時鐘域內(nèi)的
    發(fā)表于 04-12 17:39

    具有三條 100mA 通道的低 EMI 汽車 LED驅(qū)動器TPS61193-Q1數(shù)據(jù)表

    電子發(fā)燒友網(wǎng)站提供《具有三條 100mA 通道的低 EMI 汽車 LED驅(qū)動器TPS61193-Q1數(shù)據(jù)表.pdf》資料免費(fèi)下載
    發(fā)表于 04-09 14:40 ?0次下載
    具有<b class='flag-5'>三條</b> 100mA 通道的低 EMI 汽車 LED驅(qū)動器TPS61193-Q1數(shù)據(jù)表

    FPGA設(shè)計的常用基本時序路徑分析

    該條路徑包括了觸發(fā)器內(nèi)部clock-to-Q的延遲,觸發(fā)器之間的由組合邏輯造成的路徑延遲以及目標(biāo)觸發(fā)器的建立時間,其延時是數(shù)據(jù)從源觸發(fā)器開始,在下一個時鐘沿來到之前通過組合邏輯和布線的最大時間
    的頭像 發(fā)表于 01-18 16:31 ?612次閱讀
    FPGA設(shè)計的常用基本<b class='flag-5'>時序</b><b class='flag-5'>路徑分析</b>

    如何禁止vivado自動生成 bufg

    Vivado中禁止自動生成BUFG(Buffered Clock Gate)可以通過以下步驟實現(xiàn)。 首先,讓我們簡要了解一下什么是BUFG。BUFG是一個時鐘緩沖器,用于緩沖輸入時鐘信號,使其更穩(wěn)
    的頭像 發(fā)表于 01-05 14:31 ?1457次閱讀

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發(fā)表于 01-05 10:18 ?1440次閱讀

    基于FPGA的時序分析設(shè)計方案

    時鐘時序特性主要分為抖動(Jitter)、偏移(Skew)、占空比失真(Duty Cycle Distortion)3點。對于低速設(shè)計,基本不用考慮這些特征;對于高速設(shè)計,由于時鐘本身的原因造成的
    發(fā)表于 11-22 09:29 ?593次閱讀
    基于FPGA的<b class='flag-5'>時序</b><b class='flag-5'>分析</b>設(shè)計方案

    完美時序-時鐘產(chǎn)生和分發(fā)設(shè)計指南

    電子發(fā)燒友網(wǎng)站提供《完美時序-時鐘產(chǎn)生和分發(fā)設(shè)計指南.pdf》資料免費(fèi)下載
    發(fā)表于 11-18 10:27 ?0次下載
    完美<b class='flag-5'>時序</b>-<b class='flag-5'>時鐘</b>產(chǎn)生和分發(fā)設(shè)計指南

    FPGA時序約束--基礎(chǔ)理論篇

    和修復(fù)時序路徑。 時序路徑對于設(shè)計的正確性和時序性能來說都是非常重要的。為了最大程度地提高性能并避免瓶頸,我們必須對
    發(fā)表于 11-15 17:41

    DFX設(shè)計如何分析

    針對DFX設(shè)計,Vivado提供了命令report_pr_configuration_analysis,該命令會從設(shè)計復(fù)雜度、時鐘時序等方面對其進(jìn)行分析。使用該命令時,我們主要會用到
    的頭像 發(fā)表于 11-09 11:23 ?712次閱讀
    DFX設(shè)計如何<b class='flag-5'>分析</b>

    請問18B20溫度傳感器的三條線最長能接到多少米?

    18B20溫度傳感器的三條線最長能接到多少米?能不能接到10米左右!
    發(fā)表于 11-02 07:46

    為什么異步fifo中讀地址同步在寫時鐘時序分析不通過?

    為什么異步fifo中讀地址同步在寫時鐘時序分析不通過? 異步FIFO中讀地址同步在寫時鐘時序分析
    的頭像 發(fā)表于 10-18 15:23 ?570次閱讀