0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

圖像處理:HDMI顯示代碼的UVM仿真

電子設(shè)計 ? 來源:AI加速 ? 作者:AI加速 ? 2020-11-10 15:35 ? 次閱讀

本篇完成對HDMI顯示代碼的UVM仿真,梳理一下在windows-modelsim工具下UVM仿真環(huán)境的建立,調(diào)試以及遇到的問題。

仿真架構(gòu)

仿真的架構(gòu)在上一篇已經(jīng)做了簡要介紹,這部分做重點講解。整體結(jié)構(gòu)包括五個功能模塊:image圖像的隨機化和驅(qū)動,軟件端配置,圖像監(jiān)測,以及設(shè)計部分。如圖1.1所示。

圖1.1 UVM仿真驗證架構(gòu)

圖1.1 UVM仿真驗證架構(gòu)

img_seq

這部分主要是生成image數(shù)據(jù),并發(fā)送給img_drv,由img_drv驅(qū)動給到DUT。Image的隨機化定義在img_trans中,這個類繼承了uvm_sequence_item,定義了img數(shù)組,這是一個隨機化的8bit數(shù)據(jù)組成的數(shù)組。在img_seq中通過randomize函數(shù)就可以產(chǎn)生隨機化數(shù)據(jù)。

class img_trans extends uvm_sequence_item;

rand logic[7:0] img[3*`MAX_RESLUTN_H*`MAX_RESLUTN_V];

Endclass

Img_seq中對整個仿真的啟動和結(jié)束做了控制,在這部分實現(xiàn)的原因是保證一幀圖像在仿真過程中的完整性。通過設(shè)置run_time來控制可以發(fā)送多少幀圖像,這個變量從命令行傳進(jìn)去。Starting_phase可以使得在uvm_sequence中來啟動和終止仿真過程,只要指定了相應(yīng)的sequence是某個sequencer的default_sequence,以及在sequencer中設(shè)置seq的starting_phase為sequencer的phase,這樣就可以用sequence來啟動仿真了。

if(starting_phase != null)
starting_phase.raise_objection(this);
else
`uvm_error(get_type_name(), "cannot start phase");

for(int i=0;i `uvm_create(trans)
if(trans.randomize() != 1)begin
`uvm_info(get_type_name(), "Failed to randomize image transaction", UVM_NONE)
end
trans.print();
`uvm_send(trans)
`uvm_info(get_type_name(), "one image have been recived", UVM_NONE)
wait(sw_inf_i.intr == 1);

end

#50;
starting_phase.drop_objection(this);

img_sqr.sv中:

virtual task main_phase(uvm_phase phase);
img_seq seq;
seq = img_seq::type_id::create("img_seq");
seq.starting_phase = phase;
seq.start(this);
endtask

img_drv

這個模塊是通過img_sqr從img_seq中獲得img的數(shù)據(jù),然后通過axi接口發(fā)送給DUT。這部分主要是如何對接DUT的AXI接口,DUT通過AXI接口讀取image數(shù)據(jù),因此接口功能只實現(xiàn)了讀操作。用一個task來實現(xiàn)axi_read,主要包括三個并行進(jìn)程:一個是獲取axi讀指令,另一個是根據(jù)獲得的axi讀指令來發(fā)送數(shù)據(jù),最后增加一個計數(shù)器用于處理axi等待時間過長的問題,如果超過一定時間,就報錯。指令獲取和發(fā)送數(shù)據(jù)的交互通過mailbox來實現(xiàn),只要收到的axi指令不大于AXI接口可接收的最大transactions,就可以繼續(xù)接收,否則就不接受。然后發(fā)送數(shù)據(jù)進(jìn)程從mailbox中獲得指令,根據(jù)指令發(fā)送對應(yīng)的數(shù)據(jù)。

task img_drv::axi_read();

int abs_araddr;
int arlen_cnt;
ar_trans ar_req;
ar_trans ar_rep;
int ar_timer;
int i_size = img_size;

fork: AXI_READ_CTRL

forever begin: AR
if(ar_mbx.num() axi.s_axi_arready = 1'b1;
else
axi.s_axi_arready = 1'b0;

if(axi.s_axi_arready & axi.s_axi_arvalid)begin
ar_req = new("ar_trans");
ar_req.araddr = axi.s_axi_araddr;
ar_req.arlen = axi.s_axi_arlen;
ar_req.arid = axi.s_axi_arid;

ar_mbx.put(ar_req);
end

@(posedge axi.clk);
end

forever begin: RDATA
ar_timer = $urandom_range(`AXI_WAIT_TIMER, 0);
while(ar_timer--)
@(posedge axi.clk);

if(ar_mbx.num() == 0)begin
axi.s_axi_rvalid = 1'b0;
@(posedge axi.clk);
wait(ar_mbx.num() > 0);
end
else begin
while(!axi.s_axi_rready)
@(posedge axi.clk);

axi.s_axi_rvalid = 1'b1;

ar_mbx.get(ar_rep);
abs_araddr = ar_rep.araddr - img_start_addr;
arlen_cnt = ar_rep.arlen + 1;

if(ar_rep.araddr[10:0]+arlen_cnt*(`AXI_DATA_WD/8)>4096)begin
`uvm_error(this.get_type_name(), $sformatf("cross 4KB at address: ar_rep.araddr"));
end

while(arlen_cnt)begin
if(axi.s_axi_rready)begin
for(int i=0;i axi.s_axi_rdata[i*8 +: 8] = img_req.img[abs_araddr++];
end

arlen_cnt--;
end

if(arlen_cnt == 0)begin
axi.s_axi_rlast = 1'b1;
end

@(posedge axi.clk);

end
axi.s_axi_rlast = 1'b0;
axi.s_axi_rvalid = 1'b0;
@(posedge axi.clk);
end
end//RDATA

while(i_size)begin
if(axi.s_axi_rvalid & axi.s_axi_rready)begin
i_size--;
end
@(posedge axi.clk);
end

join_any

disable AXI_READ_CTRL;

endtask

sw_config

這部分主要是涉及到軟件端對寄存器的配置,包括圖像的行列大小,blank的行和列大小等。這些變量在sw_trans中隨機化,為了減少仿真時間,作者減小了圖像的大小約束。這應(yīng)該不會影響仿真驗證結(jié)果。Sw_seq就是產(chǎn)生隨機化數(shù)據(jù),然后發(fā)送給sw_drv。Sw_driver主要是實現(xiàn)axi4lite接口,發(fā)送數(shù)據(jù)給DUT。Axi4lite控制比較簡單,如下:

task sw_driver::axi4lite_write(logic [`AXI4LITE_DATA_WD-1:0] data, logic [`AXI4LITE_ADDR_WD-1:0] waddr);
int timer = 1000;

repeat($urandom_range(15, 5)) @(posedge vif.axi_if.clk);
fork: AXI4LITE_SEND
begin: WRITE_DATA
vif.axi_if.axi4lite_awprot = 0;
vif.axi_if.axi4lite_awaddr = waddr;
vif.axi_if.axi4lite_awvalid = 1'b1;
if(!vif.axi_if.axi4lite_awready)begin
while(!vif.axi_if.axi4lite_awready)
@(posedge vif.axi_if.clk);
end
else begin
@(posedge vif.axi_if.clk);
end
vif.axi_if.axi4lite_awvalid = 1'b0;
repeat($urandom_range(5, 0)) @(posedge vif.axi_if.clk);

vif.axi_if.axi4lite_wdata = data;
vif.axi_if.axi4lite_wstrb = {(`AXI4LITE_DATA_WD/8){1'b1}};
vif.axi_if.axi4lite_wvalid = 1'b1;
if(!vif.axi_if.axi4lite_wready)begin
while(!vif.axi_if.axi4lite_wready)
@(posedge vif.axi_if.clk);
end
else begin
@(posedge vif.axi_if.clk);
end
vif.axi_if.axi4lite_wvalid = 1'b0;
wait(vif.axi_if.axi4lite_bvalid);
repeat($urandom_range(5, 0)) @(posedge vif.axi_if.clk);
end

begin: TIMER_CNT
while(timer--)
@(posedge vif.axi_if.clk);
`uvm_error(get_type_name(), $sformatf("wait for axi ready for long: axi4lite_awaddr = %0h, axi4lite_awready = %0d",
vif.axi_if.axi4lite_awaddr, vif.axi_if.axi4lite_awready));
end
join_any

disable AXI4LITE_SEND;
`uvm_info(get_type_name(), $sformatf("have sent sw data at address: %0x", vif.axi_if.axi4lite_awaddr), UVM_MEDIUM);
Endtask

為了將sw_trans的數(shù)據(jù)發(fā)送給其他模塊,在sw_driver中通過定義:

uvm_analysis_port #(sw_trans) sw_trans_port;

在需要接收這個數(shù)據(jù)的類中聲明一個port:

uvm_analysis_imp_sw #(sw_trans, img_monitor) sw_imp;

并聲明后綴:

`uvm_analysis_imp_decl(_sw)

然后定義一個write函數(shù):

function void img_monitor::write_sw(sw_trans sw_req);

img_h = sw_req.resl_h;
img_v = sw_req.resl_v;

endfunction

這樣就接收到了來自sw_trans的數(shù)據(jù)。

img_monitor

這部分主要是比對數(shù)據(jù),包括兩方面,一個是比對接收到的image的圖像數(shù)據(jù)R,G,B。另外一個是比對經(jīng)過物理編碼后的10bit的R,G,B數(shù)據(jù)。

task img_monitor::comp_rgb();
localparam AXI_DATA_BW = `AXI_DATA_WD/8;

logic [8*3-1:0] ref_rgb;
int err_cnt = 0;

int pixs;
int v=0;
int h=0;

while(v != img_v)begin
if(img_inf_i.rgb_valid && img_inf_i.rgb_ready && img_inf_i.h_sync && img_inf_i.v_sync)begin
pixs = 3 * (img_h * v + h);

ref_rgb = {img_data.img[pixs+2], img_data.img[pixs+1], img_data.img[pixs]};

if(ref_rgb != img_inf_i.rgb)begin
`uvm_error(this.get_type_name(), $sformatf("ref_rgb(%h, %h, %h) is diffrent with rgb(%h, %h, %h) at (%d, %d)", ref_rgb[8*2 +: 8], ref_rgb[8*1 +: 8], ref_rgb[7:0], img_inf_i.rgb[8*2 +: 8], img_inf_i.rgb[8*1 +: 8], img_inf_i.rgb[7:0], v, h));

err_cnt++;
end
@(posedge img_inf_i.clk);
v = (h >= img_h - 1) ? v+1 : v;
h = (h >= img_h - 1) ? h-img_h+1 : h+1;

end
else begin
@(posedge img_inf_i.clk);
end
end

if(err_cnt == 0)
`uvm_info(get_type_name(), "rgb comparison passed !", UVM_LOW)

Endtask

對物理編碼數(shù)據(jù)的比較,是UVM中生成對應(yīng)的數(shù)據(jù),放到隊列中,然后和DUT中的相應(yīng)數(shù)據(jù)進(jìn)行對比。

function void img_monitor::write_img(img_trans img_req);

localparam AXI_DATA_BW = `AXI_DATA_WD/8;
int pixs = 0;
tmds tmds_obj;

img_data.copy(img_req);

for(int i=0;i for(int j=0;j tmds_obj = new("tmds");
pixs = 3 * (i * img_h + j);
tmds_obj.b = img_data.img[pixs];
tmds_obj.g = img_data.img[pixs+1];
tmds_obj.r = img_data.img[pixs+2];

tmds_obj.b = tmds_encode(tmds_obj.b, this.b_cnt);
tmds_obj.g = tmds_encode(tmds_obj.g, this.g_cnt);
tmds_obj.r = tmds_encode(tmds_obj.r, this.r_cnt);

tmds_obj.h = j;
tmds_obj.v = i;

tmds_i.push_back(tmds_obj);
end

this.b_cnt = 0;
this.g_cnt = 0;
this.r_cnt = 0;

end

endfunction

task img_monitor::comp_tmds();

localparam PREAMBLE_VIDEO = {10'b1101010100, 10'b0010101011, 10'b0101010100};//'h3542AD54
int v = 0;
int h = 0;
tmds ref_tmds_obj = new("tmds");

while(v != img_v)begin

wait(img_inf_i.tmds_valid && img_inf_i.tmds_data == PREAMBLE_VIDEO);
@(posedge img_inf_i.clk);
for(int i=0;i if(img_inf_i.tmds_data != PREAMBLE_VIDEO)
`uvm_error(get_type_name(), "video preamble is wrong!")
@(posedge img_inf_i.clk);
end
repeat(2) @(posedge img_inf_i.clk);

for(h=0;h ref_tmds_obj = tmds_i.pop_front();
if(ref_tmds_obj.b != img_inf_i.tmds_data[9:0] || ref_tmds_obj.g != img_inf_i.tmds_data[19:10] || ref_tmds_obj.r != img_inf_i.tmds_data[29:20])
`uvm_error(this.get_type_name(), $sformatf("ref_tmds ( %h, %h, %h) != tmds (%h, %h, %h) at (%d, %d)", ref_tmds_obj.r, ref_tmds_obj.g, ref_tmds_obj.b, img_inf_i.tmds_data[29:20], img_inf_i.tmds_data[19:10], img_inf_i.tmds_data[9:0], v, h));

@(posedge img_inf_i.clk);
v = (h >= img_h - 1) ? v+1 : v;
end
end

`uvm_info(get_type_name(), "tmds data is compared for one frame!", UVM_LOW)
Endtask

結(jié)果

通過打印信息來判斷是否通過測試。

圖2.1 仿真結(jié)果

圖2.1 仿真結(jié)果

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • HDMI
    +關(guān)注

    關(guān)注

    32

    文章

    1596

    瀏覽量

    151152
  • 圖像處理
    +關(guān)注

    關(guān)注

    26

    文章

    1268

    瀏覽量

    56381
  • UVM
    UVM
    +關(guān)注

    關(guān)注

    0

    文章

    181

    瀏覽量

    19088
收藏 人收藏

    評論

    相關(guān)推薦

    顯示器2個hdmi接口如何切換

    在現(xiàn)代顯示器中,HDMI(High-Definition Multimedia Interface,高清晰度多媒體接口)接口已成為連接各種設(shè)備的標(biāo)準(zhǔn)接口之一。許多顯示器配備了多個HDMI
    的頭像 發(fā)表于 08-01 15:22 ?1398次閱讀

    化腐朽為神奇!揭開ISP圖像處理的神秘面紗,基于瑞芯微RK3568J工業(yè)平臺!

    參數(shù)文件,通過IQ參數(shù)文件將攝像頭采集的圖像進(jìn)行優(yōu)化處理后發(fā)送至顯示設(shè)備。硬件連接評估板默認(rèn)已支持HDMI OUT顯示,請將評估板
    發(fā)表于 07-25 16:00

    基于FPGA的圖像采集與顯示系統(tǒng)設(shè)計

    源和固有的并行處理能力,在數(shù)字信號處理、硬件加速、汽車電子等領(lǐng)域得到了廣泛應(yīng)用。在圖像采集與顯示系統(tǒng)中,F(xiàn)PGA能夠?qū)崿F(xiàn)高速、并行的數(shù)據(jù)處理
    的頭像 發(fā)表于 07-17 10:58 ?1247次閱讀

    圖像處理中的卷積運算

    卷積運算是圖像處理中一種極其重要的操作,廣泛應(yīng)用于圖像濾波、邊緣檢測、特征提取等多個方面。它基于一個核(或稱為卷積核、濾波器)與圖像進(jìn)行相乘并求和的過程,通過這一操作可以實現(xiàn)對
    的頭像 發(fā)表于 07-11 15:15 ?742次閱讀

    FPGA設(shè)計中 Verilog HDL實現(xiàn)基本的圖像濾波處理仿真

    今天給大俠帶來FPGA設(shè)計中用Verilog HDL實現(xiàn)基本的圖像濾波處理仿真,話不多說,上貨。 1、用matlab代碼,準(zhǔn)備好把圖片轉(zhuǎn)化成Vivado Simulator識別的
    發(fā)表于 05-20 16:44

    uvm1.1升級為uvm1.2 uvm_report_server報錯是何原因?

    ISP算法仿真中,小編會用reference model調(diào)用DPI接口用C++ 算法實現(xiàn)pixel算法處理,然后和DUT算法處理輸出的pixel值進(jìn)行比較,比較時候發(fā)現(xiàn)報錯,報錯代碼
    的頭像 發(fā)表于 03-04 14:18 ?584次閱讀
    <b class='flag-5'>uvm</b>1.1升級為<b class='flag-5'>uvm</b>1.2 <b class='flag-5'>uvm</b>_report_server報錯是何原因?

    UVM手把手教程系列(二)Phase機制簡單介紹

    UVM中的phase,按照其是否消耗仿真時間($time打印出的時間)的特性,可以分成兩大類
    的頭像 發(fā)表于 02-29 09:26 ?1087次閱讀
    <b class='flag-5'>UVM</b>手把手教程系列(二)Phase機制簡單介紹

    基于TIC6000 DSP教學(xué)實驗箱_數(shù)字圖像處理操作教程:5-20 圖像離散余弦變換(LCD顯示

    C 語言代碼更高的性能。通過提供帶有源代碼的即用型 DSP 功能,IMGLIB 可以顯著縮短應(yīng)用程序開發(fā)時間。提供的功能包括壓縮、視頻處理、機器視覺和醫(yī)學(xué)成像。本實驗中使用的功能是圖像
    發(fā)表于 01-11 14:34

    UVM環(huán)境的看門狗怎么沒看住超時了?

    uvm驗證環(huán)境里一般通過objection機制來控制仿真的結(jié)束,不過在機制之外,有時還需要通過看門狗來watchdog避免仿真環(huán)境掛死,watchdog配合objection一起來控制仿真
    的頭像 發(fā)表于 12-04 11:42 ?945次閱讀

    FPGA圖像處理方法

    圖像在采集和傳輸?shù)倪^程中,通常會產(chǎn)生噪聲,使圖像質(zhì)量降低,影響后續(xù)處理。因此須對圖像進(jìn)行一些圖像濾波、
    的頭像 發(fā)表于 12-02 13:15 ?913次閱讀

    HDMI轉(zhuǎn)DVI黑屏的處理妙招

    HDMI轉(zhuǎn)DVI黑屏的處理妙招? 當(dāng)我們將HDMI接口的設(shè)備連接到DVI接口的顯示器時,有時會遇到顯示器黑屏的問題。這種情況可能由多種原因引
    的頭像 發(fā)表于 11-28 15:50 ?4560次閱讀

    我的第一個UVM代碼——Hello world

    `uvm_component_utils有關(guān) 仿真命令: irun -sv -uvmhome \\\\ /.../ies/tools/methodology/UVM/CDNS-1.2/sv \\\\ hello_world.sv
    發(fā)表于 11-03 10:18

    vcs和xrun搭配uvm1.1/uvm1.2版本庫的使用情況

    我們在項目中有時候需要使用不同的UVM版本庫進(jìn)行仿真,有時候還會在不同的仿真器之間進(jìn)行切換,本文簡單總結(jié)了一下vcs和xrun搭配uvm1.1/uv
    的頭像 發(fā)表于 10-27 09:41 ?3244次閱讀

    機器視覺之圖像增強和圖像處理

    一、圖像處理技術(shù)概述1.定義對原始獲取圖像進(jìn)行一系列的運算處理,稱為圖像處理。
    的頭像 發(fā)表于 10-26 08:07 ?806次閱讀
    機器視覺之<b class='flag-5'>圖像</b>增強和<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>

    機器視覺之圖像增強和圖像處理

    對原始獲取圖像進(jìn)行一系列的運算處理,稱為圖像處理。圖像處理是機器視覺技術(shù)的方法基礎(chǔ),包括
    發(fā)表于 10-23 10:43 ?433次閱讀
    機器視覺之<b class='flag-5'>圖像</b>增強和<b class='flag-5'>圖像</b><b class='flag-5'>處理</b>