0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一種彈速補(bǔ)償?shù)腇PGA實(shí)現(xiàn)方案解析

電子設(shè)計(jì) ? 來(lái)源:電子技術(shù)應(yīng)用 ? 作者:ChinaAET ? 2020-12-14 12:53 ? 次閱讀

來(lái)源:電子技術(shù)應(yīng)用ChinaAET

針對(duì)高速運(yùn)動(dòng)平臺(tái)彈速補(bǔ)償?shù)膶?shí)時(shí)性要求,在基于距離徙動(dòng)校正(Range Cell Migration Compensation,RCMC) 的思想上提出了一種彈速補(bǔ)償?shù)?a href="http://www.ttokpm.com/soft/data/30-91/" target="_blank">FPGA實(shí)現(xiàn)方法。將距離徙動(dòng)校正的思想用于彈速補(bǔ)償,提高了相參積累后的信噪比,并在FPGA中完成硬件實(shí)現(xiàn),仿真實(shí)驗(yàn)表明使用FPGA實(shí)現(xiàn)彈速補(bǔ)償方法具有實(shí)時(shí)性高、處理速度快、精度高等有優(yōu)點(diǎn)。

高速飛行器具有重要的戰(zhàn)略意義和極高的應(yīng)用價(jià)值,其具有全球攻擊、機(jī)動(dòng)作戰(zhàn)等特點(diǎn),特別是在遠(yuǎn)程打擊、快速突防、電子壓制、對(duì)地偵察和預(yù)警等方面極具發(fā)展?jié)摿1]。目標(biāo)檢測(cè)性能優(yōu)劣是實(shí)現(xiàn)高速運(yùn)動(dòng)飛行器對(duì)目標(biāo)精確打擊的關(guān)鍵。對(duì)于高速運(yùn)動(dòng)飛行器而言,雷達(dá)導(dǎo)引頭接收到的各脈沖回波間,由于平臺(tái)速度引入了不可忽略的距離走動(dòng),嚴(yán)重降低相干積累后的檢測(cè)前信噪比,從而直接影響整個(gè)雷達(dá)導(dǎo)引頭的探測(cè)性能,因此必須對(duì)彈速產(chǎn)生的距離徙動(dòng)進(jìn)行補(bǔ)償。

近年來(lái),現(xiàn)場(chǎng)可編程邏輯門(mén)陣列(Field Programmable Gate Array,F(xiàn)PGA)技術(shù)飛速發(fā)展,集成度越來(lái)越高,功耗、成本不斷降低,特別是在并行處理、流水線設(shè)計(jì)、可重構(gòu)等方面具有獨(dú)一無(wú)二的優(yōu)勢(shì),使其逐漸在雷達(dá)信號(hào)處理領(lǐng)域中占據(jù)重要地位。本文使用FPGA對(duì)距離徙動(dòng)校正算法進(jìn)行了硬件實(shí)現(xiàn),具有實(shí)時(shí)性高,處理速度快,精度高等特點(diǎn)[2-3]。

1 RCMC算法
彈載雷達(dá)彈速補(bǔ)償方法采用了RCMC的思想,本節(jié)將從信號(hào)模型的角度對(duì)RCMC原理簡(jiǎn)要地闡述[4]。

假設(shè)一彈載雷達(dá)發(fā)射一串載頻為f0,帶寬為B,脈沖持續(xù)時(shí)間為T(mén)p的線性調(diào)頻信號(hào),發(fā)射的脈沖串信號(hào)可表示為:

pIYBAF9uETSAA0XfAAHAO96quZk492.png


pIYBAF9uETqAMzZrAAV1WaLxMGo092.png

距離徙動(dòng)校正(RCMC)是通過(guò)補(bǔ)償各次脈沖的時(shí)間延遲Δti,使得第i(i=2,3,…,N)個(gè)脈壓輸出時(shí)域信號(hào)峰值位置按第一個(gè)脈沖對(duì)齊。第i次脈沖的RCMC補(bǔ)償因子的頻域形式可表示為:

o4YBAF9uETyAFahLAADmbYx4Ya0733.png

綜上,只需對(duì)距離壓縮后的回波信號(hào)在頻域乘以一個(gè)距離徙動(dòng)校正因子Hi(f),就可以去掉距離走動(dòng)效應(yīng)。所以彈速補(bǔ)償?shù)膶?shí)現(xiàn)方法的核心問(wèn)題就是讓硬件來(lái)運(yùn)算式(11)。

2 算法的FPGA實(shí)現(xiàn)

由于FPGA在并行處理、流水線設(shè)計(jì)、可重構(gòu)等方面的優(yōu)勢(shì),使其相對(duì)于DSP處理器更適合來(lái)運(yùn)算式(11)。具體的實(shí)現(xiàn)方法按流程上可分為三步來(lái)做,第一步是將時(shí)域信號(hào)變?yōu)轭l域信號(hào);第二步在頻域下乘以Hi(f);第三步將運(yùn)算結(jié)果逆變?yōu)闀r(shí)域信號(hào)。顯然,第一步和第三步使用FFT和IFFT即可實(shí)現(xiàn),并且FFT和IFFT算法的FPGA實(shí)現(xiàn)已很成熟,所以實(shí)現(xiàn)方法的核心問(wèn)題就是實(shí)現(xiàn)第二步。

在做RCMC之前首先要在FPGA內(nèi)做數(shù)字下變頻和脈沖壓縮,由于距離徙動(dòng)校正和脈壓有緊密的互聯(lián)結(jié)構(gòu),在硬件設(shè)計(jì)中,特別是同步設(shè)計(jì)上,往往要將這兩者綜合考慮,所以本設(shè)計(jì)中將脈壓和距離徙動(dòng)校正封裝在一個(gè)模塊內(nèi)。

接下來(lái)按照功能來(lái)介紹,先對(duì)脈沖壓縮進(jìn)行簡(jiǎn)要介紹,再對(duì)距離徙動(dòng)校正作詳細(xì)介紹。圖1為距離徙動(dòng)校正模塊的系統(tǒng)框圖。RCMC模塊的頂層的輸入輸出很簡(jiǎn)潔,輸入輸出端口與式(11)、式(16)中的參數(shù)的映射關(guān)系如表1所示。

pIYBAF9uET6AfJ_fAAJKyOZDt04933.png


pIYBAF9uEUGAHZOLAAHzpJQXkOE105.png

2.1 脈沖壓縮的FPGA實(shí)現(xiàn)

脈沖壓縮在時(shí)域下是回波信號(hào)與匹配濾波器的沖擊響應(yīng)的卷積,而在頻域下為乘積運(yùn)算,便于硬件實(shí)現(xiàn)。所以本設(shè)計(jì)中采用頻域下相乘的方法來(lái)實(shí)現(xiàn)時(shí)序脈沖壓縮。

將輸入的兩路正交回波信號(hào)DIN_RE和DIN_IM進(jìn)行FFT運(yùn)算,XILINX提供了免費(fèi)的FFT IP核,所以這里直接在FPGA工程中調(diào)用一個(gè)FFT IP。將DVIN與FFT的start引腳連接,將輸入數(shù)據(jù)DIN_RE、DIN_IM與FFT的xn_re,xn_im連接。然后將經(jīng)過(guò)FFT運(yùn)算后的兩路頻域數(shù)據(jù)與量化后的匹配濾波系數(shù)復(fù)乘。匹配濾波系數(shù)由MATLAB生成導(dǎo)入FPGA的ROM內(nèi)。如圖1所示,ROM的使能引腳與FFT的輸出有效引腳dv連接,ROM使能之后地址累加1開(kāi)始輸出系數(shù),與FFT輸出的數(shù)據(jù)作同步處理之后輸入給復(fù)數(shù)乘法器,若不作距離徙動(dòng)校正將復(fù)數(shù)乘法器的結(jié)果進(jìn)行逆FFT運(yùn)算之后便完成了脈沖壓縮。

2.2 距離徙動(dòng)校正的FPGA實(shí)現(xiàn)

由式(10),可令:

pIYBAF9uEUKAFPJWAABLVFiAsUo781.png

其中,i為脈沖序列數(shù),初始值為1;Tr為慢時(shí)間域下的脈沖重復(fù)周期(單位:s);v為導(dǎo)彈速度(單位:m/s);c為光速(單位:m/s);f為單一頻點(diǎn)的頻率(單位:Hz);B為脈沖寬度(單位:Hz),這里取40 MHz;nfft為FFT的字長(zhǎng),這里取16 384;n為量化后的序列數(shù),初始值為0。

對(duì)于FPGA來(lái)說(shuō),它無(wú)法直接計(jì)算ejω,而根據(jù)歐拉公式可得:

o4YBAF9uEUOAYwsnAAAaoXoSta8361.png

所以為便于FPGA實(shí)現(xiàn)可以通過(guò)正余弦函數(shù)來(lái)計(jì)算ejω。正余弦函數(shù)本文采用查表法的方式來(lái)實(shí)現(xiàn),首先由MATLAB生成兩組數(shù)據(jù),數(shù)據(jù)值為round(G·cos(k))和round(G·sin(k)),G=8 192,k的取值范圍為1:1:1024。G與k的取值與精度要求有關(guān)。將生成的這兩組數(shù)據(jù)分別存在兩個(gè)coe文件中。在FPGA工程中調(diào)用兩個(gè)ROM,將存儲(chǔ)深度定為1 024,位寬定為15,將MATLAB生成的兩個(gè)coe文件導(dǎo)入ROM。如圖1所示,再將ROM的使能與FFT IP核的dv引腳連接,通過(guò)計(jì)算ROM的地址即可計(jì)算得出的ejω的數(shù)值。具體計(jì)算方法如下。

o4YBAF9uEUWAMba5AAB85VacAMM548.png

式中,addr為ROM的地址,ROM的存儲(chǔ)深度為1 024,所以sin與cos函數(shù)每1 024個(gè)數(shù)據(jù)為一個(gè)周期,所以要計(jì)算得出cosω與sinω,只要通過(guò)乘法器計(jì)算出addr,再將addr對(duì)1 024取余,即截取addr的低10位,然后接入兩個(gè)ROM的地址總線引腳,接著把兩個(gè)ROM輸出的數(shù)據(jù)除以8 192,即截掉低15位,得到cosω與sinω的計(jì)算結(jié)果。

對(duì)式(15)的參數(shù)進(jìn)行整理,將B、c、nfft等常數(shù)合并為系數(shù)coe,并取整得:

o4YBAF9uEUaAMvmPAAAix5rli-g302.png

如圖1所示,將兩個(gè)正余弦ROM的輸出與脈壓之后頻域下的數(shù)據(jù)作復(fù)乘(數(shù)據(jù)同步之后輸入復(fù)數(shù)乘法器)即可完成ejω的運(yùn)算,最后再對(duì)復(fù)乘結(jié)果進(jìn)行逆FFT運(yùn)算(IFFT IP核的設(shè)置與上文的FFT IP核的設(shè)置相同),即可得到脈壓和距離徙動(dòng)校正之后的數(shù)據(jù)。

3 仿真結(jié)果

本節(jié)將通過(guò)測(cè)試和仿真等手段來(lái)驗(yàn)證本方法的正確性和硬件系統(tǒng)的性能。

3.1 測(cè)試數(shù)據(jù)

為驗(yàn)證本方法的正確性和可行性,將一組(10個(gè)脈沖)下變頻之后的回波數(shù)據(jù)輸入FPGA進(jìn)行運(yùn)算,在復(fù)數(shù)乘法器1的輸出口和整個(gè)系統(tǒng)的輸出口設(shè)了兩個(gè)測(cè)試點(diǎn),將這兩個(gè)測(cè)試點(diǎn)的數(shù)據(jù)保存至txt文件,導(dǎo)入MATLAB,與直接用MATLAB作距離徙動(dòng)校正后的數(shù)據(jù)進(jìn)行對(duì)比。

輸入的初始回波數(shù)據(jù)如圖2所示。

pIYBAF9uEUmAOQmDAAHkRijCWsY199.png

第一個(gè)測(cè)試點(diǎn)數(shù)據(jù)作折線圖,如圖3所示。MATLAB的仿真結(jié)果如圖4所示。

pIYBAF9uEUuABIqrAAHl38SFggg599.png


o4YBAF9uEU6AbHbEAAHdPiA_cD8383.png

第二個(gè)測(cè)試點(diǎn)數(shù)據(jù)作折線圖,如圖5所示。MATLAB的仿真結(jié)果如圖6所示。

o4YBAF9uEVGAX10oAAHfS8Mktew814.png


pIYBAF9uEVSAbM3sAAHQl7KKM1s674.png

由上面兩圖可以看出FPGA運(yùn)行結(jié)果與MATLAB仿真結(jié)果非常一致,將測(cè)試點(diǎn)2的兩個(gè)數(shù)據(jù)做差可以看出誤差,如圖7所示,可以估算出最大誤差為1.5/1 100=0.14%。

o4YBAF9uEVaAaYvxAAHhne2mPa8371.png

3.2 仿真波形

圖8是MODELSIM仿真波形,以一個(gè)脈沖數(shù)據(jù)為例,可以看出,從第一個(gè)回波數(shù)據(jù)輸入到系統(tǒng)處理結(jié)束后最后一個(gè)數(shù)據(jù)輸出,整個(gè)系統(tǒng)的處理時(shí)間為493.355 μs,扣除數(shù)據(jù)傳輸時(shí)間16 384×5 ns=81.92 μs,系統(tǒng)處理時(shí)間為411.435 μs。

在FFT處理出第一個(gè)數(shù)據(jù)的瞬間,使能信號(hào)就會(huì)傳遞給ROM_MATCH和乘法器模塊,這些模塊便開(kāi)始進(jìn)行運(yùn)算,并且FFT每輸出一個(gè)數(shù)據(jù),這些模塊便計(jì)算一次,當(dāng)16 384個(gè)數(shù)據(jù)全部FFT運(yùn)算結(jié)束,其他模塊也幾乎同時(shí)運(yùn)算結(jié)束,數(shù)據(jù)從FFT輸出到復(fù)數(shù)乘法器輸出結(jié)果延時(shí)時(shí)間小于20個(gè)時(shí)鐘周期,本系統(tǒng)的主時(shí)鐘頻率為200 MHz,所以延時(shí)時(shí)間小于100 ns,很好地體現(xiàn)了FPGA并行處理和流水線運(yùn)算的優(yōu)勢(shì),總延時(shí)493 ?滋s絕大部分都消耗在了FFT和IFFT運(yùn)算以及數(shù)據(jù)傳輸時(shí)間上。

4 結(jié)論
將距離徙動(dòng)校正的思想用于彈速補(bǔ)償,提高了相參積累后的信噪比,使用FPGA實(shí)現(xiàn)距離徙動(dòng)校正算法具有實(shí)時(shí)性高、處理速度快、精度高等特點(diǎn),為雷達(dá)導(dǎo)引頭提供了很好的軟硬件支持,提高了雷達(dá)導(dǎo)引頭的探測(cè)性能。

參考文獻(xiàn)

[1] 尚煒.某相參末制導(dǎo)雷達(dá)信號(hào)處理機(jī)的理論分析及實(shí)現(xiàn)[D].西安:西安電子科技大學(xué),2009.

[2] 宋萬(wàn)均,張安堂.雙基地雷達(dá)目標(biāo)速度計(jì)算的FPGA實(shí)現(xiàn)[J].電子技術(shù)應(yīng)用,2014(1).47-49.

[3] 王龍,楊承志,吳宏超,等.基于FPGA的數(shù)字基帶多模雷達(dá)信號(hào)源設(shè)計(jì)[J].電子技術(shù)應(yīng)用,2016(8):87-90.

[4] CUMMING I G,WONG F H.合成孔徑雷達(dá)成像算法與實(shí)現(xiàn)[M].洪文,等,譯.北京:電子工業(yè)出版,2012.

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598977
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    FPGA實(shí)現(xiàn)原理

    FPGA(Field-Programmable Gate Array,現(xiàn)場(chǎng)可編程門(mén)陣列)是一種特殊的集成電路,其內(nèi)部結(jié)構(gòu)由大量的可配置邏輯塊和互連線組成。FPGA可以通過(guò)編程來(lái)實(shí)現(xiàn)各種
    發(fā)表于 01-26 10:03

    一種AT命令通信解析模塊介紹

    一種AT命令通信解析模塊,支持裸機(jī)(at_chat)和OS版本(at)。適用于modem、WIFI模塊、藍(lán)牙通信。
    的頭像 發(fā)表于 01-08 12:24 ?983次閱讀
    <b class='flag-5'>一種</b>AT命令通信<b class='flag-5'>解析</b>模塊介紹

    一種面向標(biāo)識(shí)公共遞歸解析節(jié)點(diǎn)的數(shù)據(jù)安全加固策略

    摘要 :為解決工業(yè)互聯(lián)網(wǎng)標(biāo)識(shí)解析體系公共遞歸解析節(jié)點(diǎn)信息透明、缺乏隱私數(shù)據(jù)保護(hù)和身份權(quán)限管理等問(wèn)題,提出了一種面向標(biāo)識(shí)公共遞歸解析節(jié)點(diǎn)的數(shù)據(jù)安全加固策略。通過(guò)設(shè)計(jì)加密機(jī)制及細(xì)粒度權(quán)限查
    的頭像 發(fā)表于 12-26 11:27 ?503次閱讀
    <b class='flag-5'>一種</b>面向標(biāo)識(shí)公共遞歸<b class='flag-5'>解析</b>節(jié)點(diǎn)的數(shù)據(jù)安全加固策略

    AD7626手冊(cè)上是10M轉(zhuǎn)換速率,是只有這一種工作模式嗎?

    1、AD7626手冊(cè)上是10M轉(zhuǎn)換速率,是只有這一種工作模式嗎? 2、嘗試用fpga實(shí)現(xiàn)數(shù)據(jù)采集接口,采取回波模式,預(yù)設(shè)次轉(zhuǎn)換200ns,clk輸出100M 16個(gè)時(shí)鐘脈沖,檢測(cè)D
    發(fā)表于 12-15 07:15

    靜態(tài)無(wú)功補(bǔ)償方案如何改動(dòng)態(tài)無(wú)功補(bǔ)償方案?

    靜態(tài)無(wú)功補(bǔ)償和動(dòng)態(tài)無(wú)功補(bǔ)償是電力系統(tǒng)中常用的兩無(wú)功補(bǔ)償方案。它們?cè)诟纳齐娏ο到y(tǒng)功率因數(shù)、穩(wěn)定電壓和降低諧波等方面發(fā)揮著重要作用。然而,隨著
    的頭像 發(fā)表于 11-17 14:32 ?583次閱讀

    一種LED Backlight驅(qū)動(dòng)解決方案

    電子發(fā)燒友網(wǎng)站提供《一種LED Backlight驅(qū)動(dòng)解決方案.doc》資料免費(fèi)下載
    發(fā)表于 11-14 11:21 ?0次下載
    <b class='flag-5'>一種</b>LED Backlight驅(qū)動(dòng)解決<b class='flag-5'>方案</b>

    一種均衡充電管理電路的實(shí)現(xiàn)方案

    電子發(fā)燒友網(wǎng)站提供《一種均衡充電管理電路的實(shí)現(xiàn)方案.doc》資料免費(fèi)下載
    發(fā)表于 11-14 10:27 ?1次下載
    <b class='flag-5'>一種</b>均衡充電管理電路的<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>方案</b>

    一種?兼?容?性?好和?快??U?S?B?智能充?電?方?案

    簡(jiǎn)介:本文檔介紹了傳統(tǒng)移?動(dòng)?電?源?充?電?方?案的特點(diǎn)以及傳統(tǒng)移動(dòng)電源充電方案的兼容性對(duì)比;之后介紹了一種?兼?容?性?好和?快??U?S?B?智能充?電?方?案特色、方案原理、
    發(fā)表于 11-09 15:18 ?0次下載
    <b class='flag-5'>一種</b>?兼?容?性?好和?快?<b class='flag-5'>速</b>?U?S?B?智能充?電?方?案

    一種改進(jìn)的步進(jìn)頻率雷達(dá)信號(hào)的運(yùn)動(dòng)補(bǔ)償研究

    電子發(fā)燒友網(wǎng)站提供《一種改進(jìn)的步進(jìn)頻率雷達(dá)信號(hào)的運(yùn)動(dòng)補(bǔ)償研究.pdf》資料免費(fèi)下載
    發(fā)表于 11-08 09:55 ?0次下載
    <b class='flag-5'>一種</b>改進(jìn)的步進(jìn)頻率雷達(dá)信號(hào)的運(yùn)動(dòng)<b class='flag-5'>補(bǔ)償</b>研究

    基于FPGA一種SDRAM控制器簡(jiǎn)易化設(shè)計(jì)方法

    電子發(fā)燒友網(wǎng)站提供《基于FPGA一種SDRAM控制器簡(jiǎn)易化設(shè)計(jì)方法.pdf》資料免費(fèi)下載
    發(fā)表于 10-26 09:08 ?0次下載
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>一種</b>SDRAM控制器簡(jiǎn)易化設(shè)計(jì)方法

    單片機(jī)與Internct網(wǎng)絡(luò)通信的一種具體實(shí)現(xiàn)方案

    電子發(fā)燒友網(wǎng)站提供《單片機(jī)與Internct網(wǎng)絡(luò)通信的一種具體實(shí)現(xiàn)方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-25 10:40 ?3次下載
    單片機(jī)與Internct網(wǎng)絡(luò)通信的<b class='flag-5'>一種</b>具體<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>方案</b>

    一種低功耗能量采集傳感器的實(shí)現(xiàn)方案

    電子發(fā)燒友網(wǎng)站提供《一種低功耗能量采集傳感器的實(shí)現(xiàn)方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-20 10:34 ?0次下載
    <b class='flag-5'>一種</b>低功耗能量采集傳感器的<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>方案</b>

    一種工作于X波段的載寬帶圓極化四元陣設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《一種工作于X波段的載寬帶圓極化四元陣設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 10-19 11:50 ?0次下載
    <b class='flag-5'>一種</b>工作于X波段的<b class='flag-5'>彈</b>載寬帶圓極化四元陣設(shè)計(jì)

    一種新型防偽讀碼器的設(shè)計(jì)電路方案

    電子發(fā)燒友網(wǎng)站提供《一種新型防偽讀碼器的設(shè)計(jì)電路方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-11 11:28 ?0次下載
    <b class='flag-5'>一種</b>新型防偽讀碼器的設(shè)計(jì)電路<b class='flag-5'>方案</b>

    基于N-ISDN網(wǎng)絡(luò)可視電話的一種實(shí)現(xiàn)方案

    電子發(fā)燒友網(wǎng)站提供《基于N-ISDN網(wǎng)絡(luò)可視電話的一種實(shí)現(xiàn)方案.pdf》資料免費(fèi)下載
    發(fā)表于 10-09 15:33 ?0次下載
    基于N-ISDN網(wǎng)絡(luò)可視電話的<b class='flag-5'>一種</b><b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>方案</b>