0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過實例設(shè)計來加深Verilog描述語法理解

454398 ? 來源:Xilinx學術(shù)合作 ? 作者:小魚 ? 2021-01-02 09:45 ? 次閱讀

作者:小魚,Xilinx學術(shù)合作

一.概述

在文章《Verilog HDL入門思路梳理》我們說過應(yīng)該如何去學習Verilog HDL描述。然而第一步,我們需要知道Verilog有哪些語法,它是否可以綜合,可以用這個語法來描述什么邏輯功能電路呢?

其實Xilinx已經(jīng)貼心地準備好了答案,還給你準備了一堆參考實例。

二. Verilog行為級描述
資料名稱“Vivado Design Suite User Guide - Synthesis - UG901 (v2018.2) June 6, 2018”。(注:大家可以在Xilinx官網(wǎng),或者安裝DocNav(Xilinx Documentation Navigator) 并在這里面找到這份資料。)

資料的第7章《Verilog Language Support》就是介紹在Vivado里,可綜合的Verilog描述語法以及描述方式。重點就是Verilog行為級描述(Behavioral Verilog)。

在了解了Vivado支持的可綜合的Verilog描述語法之后,我們就可以通過實例設(shè)計來加深理解。從哪里可以找到這些實例設(shè)計呢?在Vivado里面就有,下面告訴你們?nèi)绾握业剿鼈儭?/p>

1.打開Vivado工具,先新建一個工程。然后進入下頁面。

pIYBAF9uHp-AEi6kAAP19mDW48k676.png

2. 在界面最上面一行菜單欄找到 “Tools”,點擊 Tools-> Language Templates

o4YBAF9uHqSAfstbAART5iHj0Qs162.png

3. 將下圖中的Verilog欄展開,在Synthesis Constructs欄就是我們可綜合的常見Verilog描述??梢钥吹?Always ,Conditional里的case,條件選擇賦值語句,if-else等常見的語法模型他都列出來了。在Coding Example里面有一些基礎(chǔ)的電路模塊的Verilog描述示例。

pIYBAF9uHqmAY3eoAATahmrhtnE522.png

4. 展開Coding Example,可看到基礎(chǔ)的電路,如累加器,基礎(chǔ)算術(shù)電路,邏輯門,輸入輸出端口,比較器,計數(shù)器,解碼器,常見的數(shù)字信號處理電路,譯碼器,寄存器(flip flops),邏輯移位器,Misc(有七段式數(shù)碼管驅(qū)動,同步電路,防抖電路, 開漏輸出端口,脈沖調(diào)制電路),多路選擇器,RAM,ROM,移位寄存器,狀態(tài)機,三態(tài)緩沖器等等的Verilog描述示例。

pIYBAF9uHq2AVZj8AANlcYlZW_Y087.png

5.在Example Module里面有更多的設(shè)計示例,如一些算法電路的設(shè)計。有了一些基礎(chǔ)之后,就可以把這里的設(shè)計示例都看看。

o4YBAF9uHrCAGjDPAAIw7ece2CU485.png

對于初學者可以好好利用這些資料。把上面這些示例模板和示例設(shè)計學習并仿真一遍。主要是將Verilog描述與電路對應(yīng)起來,在Vivado里面可以使用Schematic,把Verilog描述的電路原理圖顯示出來。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Verilog
    +關(guān)注

    關(guān)注

    28

    文章

    1333

    瀏覽量

    109712
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA學習筆記---基本語法

    :task...endtask 11、循環(huán)語句:for 12、賦值符號:=和<=(阻塞和非阻塞賦值) 硬件設(shè)計的精髓,力求用最簡單的語言描述最復雜的硬件,這也是硬件描述語言的本質(zhì)。對于做RTL級別的設(shè)計而言,掌握好上面這些語法
    發(fā)表于 06-23 14:58

    Verilog到VHDL轉(zhuǎn)換的經(jīng)驗與技巧總結(jié)

    Verilog與VHDL語法是互通且相互對應(yīng)的,如何查看二者對同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言模板后,也可以對比查看Verilog
    的頭像 發(fā)表于 04-28 17:47 ?1598次閱讀
    <b class='flag-5'>Verilog</b>到VHDL轉(zhuǎn)換的經(jīng)驗與技巧總結(jié)

    如何快速入門FPGA

    時鐘管理模塊、嵌入式塊RAM、布線資源等。 學習FPGA編程語言: 掌握Verilog HDL(硬件描述語言)。Verilog用于數(shù)字電路的系統(tǒng)設(shè)計,具有簡潔的語法和清晰的仿真語義,非
    發(fā)表于 04-28 09:06

    如何快速入門FPGA?

    時鐘管理模塊、嵌入式塊RAM、布線資源等。 學習FPGA編程語言: 掌握Verilog HDL(硬件描述語言)。Verilog用于數(shù)字電路的系統(tǒng)設(shè)計,具有簡潔的語法和清晰的仿真語義,非
    發(fā)表于 04-28 08:54

    verilog與其他編程語言的接口機制

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和結(jié)構(gòu)。與其他編程語言相比,Verilog具有與硬件緊密結(jié)合的特點,因此其接口機制也有一些與眾不同之處。本文將詳細介紹
    的頭像 發(fā)表于 02-23 10:22 ?466次閱讀

    verilog調(diào)用模塊端口對應(yīng)方式

    Verilog是一種硬件描述語言(HDL),廣泛應(yīng)用于數(shù)字電路設(shè)計和硬件驗證。在Verilog中,模塊是構(gòu)建電路的基本單元,而模塊端口對應(yīng)方式則用于描述模塊之間信號傳遞的方式。本文將介
    的頭像 發(fā)表于 02-23 10:20 ?1083次閱讀

    verilog雙向端口的使用

    Verilog硬件描述語言中,端口是指連接模塊(Module)與其他模塊、寄存器或是物理設(shè)備的輸入或輸出接口。單向端口可以作為輸入或輸出使用,而雙向端口具有雙重作用,既可以接收輸入信號,又可以輸出
    的頭像 發(fā)表于 02-23 10:18 ?978次閱讀

    verilog中for循環(huán)是串行執(zhí)行還是并行執(zhí)行

    Verilog中,for循環(huán)是并行執(zhí)行的。Verilog是一種硬件描述語言,用于描述和設(shè)計數(shù)字電路和系統(tǒng)。在硬件系統(tǒng)中,各個電路模塊是同時運行的,并且可以并行執(zhí)行多個操作。因此,在
    的頭像 發(fā)表于 02-22 16:06 ?2088次閱讀

    verilog function函數(shù)的用法

    Verilog 是一種硬件描述語言 (HDL),主要用于描述數(shù)字電子電路的行為和結(jié)構(gòu)。在 Verilog 中,函數(shù) (Function) 是一種用于執(zhí)行特定任務(wù)并返回一個值的可重用代碼
    的頭像 發(fā)表于 02-22 15:49 ?3918次閱讀

    verilog同步和異步的區(qū)別 verilog阻塞賦值和非阻塞賦值的區(qū)別

    Verilog是一種硬件描述語言,用于設(shè)計和模擬數(shù)字電路。在Verilog中,同步和異步是用來描述數(shù)據(jù)傳輸和信號處理的兩種不同方式,而阻塞賦值和非阻塞賦值是兩種不同的賦值方式。本文將詳
    的頭像 發(fā)表于 02-22 15:33 ?1175次閱讀

    verilog的135個經(jīng)典實例

    verilog的135個經(jīng)典實例
    發(fā)表于 02-02 10:17 ?14次下載

    FPGA入門篇:Verilog計數(shù)器

    Verilog HDL是一種硬件描述語言,以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語言,用它可以表示邏輯電路圖、邏輯表達式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。
    發(fā)表于 12-07 09:59 ?2158次閱讀
    FPGA入門篇:<b class='flag-5'>Verilog</b>計數(shù)器

    Verilog的135個經(jīng)典設(shè)計實例

    Verilog的135個經(jīng)典設(shè)計實例~
    發(fā)表于 11-03 12:02

    Verilog 模塊基本結(jié)構(gòu)

    verilog極簡語法手冊
    發(fā)表于 10-23 09:28 ?0次下載

    FPGA設(shè)計之Verilog中clk為什么要用posedge而不用negedge?

    Verilog是一種硬件描述語言,用于描述數(shù)字電路的行為和特性。在Verilog中,時鐘信號(clk)和線路是非常重要的,它用于同步電路中的各個模塊,確保它們在同一時刻執(zhí)行。
    發(fā)表于 10-10 15:41 ?2508次閱讀
    FPGA設(shè)計之<b class='flag-5'>Verilog</b>中clk為什么要用posedge而不用negedge?