0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用Vivado Simulator運(yùn)行功能和時序仿真案例

電子設(shè)計 ? 來源:CSDN博主 ? 作者:FPGADesigner的博客 ? 2020-12-31 10:02 ? 次閱讀

Vivado Simulator基本操作
Vivado Simulator是一款硬件描述語言事件驅(qū)動的仿真器,支持功能仿真和時序仿真,支持VHDL、Verilog、SystemVerilog和混合語言仿真。點(diǎn)擊運(yùn)行仿真后,工具欄中顯示了控制仿真過程的常用功能按鈕:

這些控制功能依次是:

  • Restart:從0時刻開始重新運(yùn)行仿真;
  • Run All:運(yùn)行仿真一直到處理完所有event或遇到指令指示停止仿真 ;
  • Run For:按照設(shè)定的時間運(yùn)行仿真,每點(diǎn)擊一次都運(yùn)行指定時長;
  • Step:運(yùn)行仿真直到下一個HDL狀態(tài);
  • Break:暫停仿真運(yùn)行;
  • Relaunch Simulation:重新編譯仿真源文件且restart仿真,當(dāng)修改了源代碼并且保存了文件后,只需要Relaunch即可,而不必關(guān)閉仿真再重新打開運(yùn)行。

Scope窗口

Vivado Simulator中將HDL設(shè)計中的一個層次劃分稱作一個scope,比如實例化一個設(shè)計單元便創(chuàng)建了一個scope。在Scope窗口中可以看到設(shè)計結(jié)構(gòu),選中一個scope后,該scope中所有的HDL對象都會顯示在Object窗口中??梢赃x擇將Object窗口中的對象添加到波形窗口中,這樣便可以觀察到設(shè)計中的內(nèi)部信號

pIYBAF9uKHCAb4GgAABhBV2NiYo015.png

Scope窗口中可以在Settings中設(shè)置顯示哪種類型的scope,但注意當(dāng)某一scope被關(guān)閉顯示后,其內(nèi)部的所有對象(不論什么類型)都會被隱藏。對某一scope右鍵,彈出菜單如下:

  • Add to Wave Window:將所有狀態(tài)為可見的HDL對象添加到波形窗口,值從添加到仿真波形的時刻開始顯示,想要顯示插入之前的值,必須restart(注意不是relaunch,否則會耗費(fèi)更多的時間);
  • Go to Source Code:打開定義選中scope的源代碼;
  • Go to Instantiation Source Code:打開實例化選中實例的源代碼(對于Verilog而言是module,對于VHDL而言是entity)
  • Log to Wave Database:可以選中記錄當(dāng)前scope的對象,或者記錄當(dāng)前scope的對象與所有下級的scope。相關(guān)數(shù)據(jù)會存儲在project_name.sim/sim_1/behav目錄下的wdb文件中。

Objects窗口
該窗口中顯示了當(dāng)前選中的scope所包含的HDL對象,不同類型或端口的對象顯示為不同的圖標(biāo),在Settings中可以設(shè)置顯示的類型:

Object的右鍵菜單中有一些新的設(shè)置功能:

  • Show in Wave Window:在波形窗口中高亮選定的對象;
  • Radix:設(shè)置Objects窗口中選定對象的值的顯示數(shù)字格式,包括默認(rèn)、2進(jìn)制(Binary)、16進(jìn)制(Hexadecimal)、8進(jìn)制(Octal)、ASCII碼、無符號10進(jìn)制(Unsigned Decimal)、帶符號10進(jìn)制(Signed Decimal)和符號量值(Signed Magnitude)。注意此處設(shè)置不會影響到波形窗口中的顯示方式;
  • Defult Radix:設(shè)置Radix中Default所表示的值;
  • Show as Enumeration:顯示SystemVerilog枚舉信號的值,不選中時,枚舉對象的值按radix的設(shè)置方式顯示;
  • Force Constant:將選中對象的值強(qiáng)行定義為一個常量;
  • Force Clock:將選中對象強(qiáng)行設(shè)定為一個來回振蕩的值(像時鐘一樣);
  • Remove Force:移除選定對象的所有Force設(shè)置。

Wave窗口
當(dāng)運(yùn)行仿真后,會自動打開一個波形窗口,默認(rèn)顯示仿真頂層模塊中的HDL對象的波形配置。如果關(guān)閉了波形窗口,可以點(diǎn)擊Window->Waveform重新打開。

窗口中的HDL對象和分組情況稱作一個波形配置,可以將當(dāng)前配置保存為wcfg文件,下次運(yùn)行仿真時就不需要重新添加仿真對象或分組。窗口中還有游標(biāo)、記號、時間尺等功能幫助設(shè)計者測量時間。右鍵菜單中有一些新的設(shè)置功能:

  • Show in Wave Window:在Object窗口中高亮選定的對象;
  • Find/Find Value:前者是搜尋某一對象,后者是搜索對象中的某一值;
  • Ungroup:拆分group或虛擬總線(virtual bus);
  • Rename/Name:前者設(shè)置用戶自定義的對象顯示名稱,后者選中名稱的顯示方式:long(顯示所處層次結(jié)構(gòu))、short(僅顯示信號名稱)、custom(Rename設(shè)置的名稱);
  • Waveform Style:設(shè)置波形顯示為數(shù)字方式或模擬方式;
  • Signal Color:設(shè)置波形的顯示顏色;
  • Divider Color:設(shè)置隔離帶的顏色;
  • Reverse Bit Order:將選定對象的數(shù)值bit顯示順序反轉(zhuǎn);
  • New Virtual Bus:將選定對象的bit組合為一個新的邏輯向量;
  • New Group:將選定對象添加到一個group中,可以像文件夾一樣排列;
  • New Divider:在波形窗口中添加一個隔離帶,將信號分開,便于觀察。

Vivado Simulator會將配置(用戶接口控制和Tcl命令)保存到仿真運(yùn)行目錄的xsimSettings.ini文件中,下此打開仿真時就會自動恢復(fù)相關(guān)設(shè)置。使用此功能時在Simulation Settings中關(guān)閉clean up simulation files,以防止重新運(yùn)行仿真時配置文件被刪除。如果想要恢復(fù)默認(rèn)設(shè)置,則開啟clean up simulation files,或直接刪除xsimSettings.ini文件即可。

本文只對Wave窗口做了簡單介紹,本系列第20篇對其中的具體術(shù)語和功能使用做了詳細(xì)描述。

運(yùn)行功能和時序仿真

工程創(chuàng)建好后,便可運(yùn)行行為級仿真(behavioral simulation),在成功地綜合和實現(xiàn)之后,可以運(yùn)行功能仿真(functional simulation)和時序仿真(timing simulation)。在Flow Navigator中點(diǎn)擊Run Simulation,彈出菜單中選擇需要運(yùn)行的仿真:

  • 綜合后功能仿真:綜合后,通用的邏輯轉(zhuǎn)換為器件相關(guān)的原語,綜合后功能仿真可以確保綜合優(yōu)化不會影響到設(shè)計的功能性。運(yùn)行時,會生成一個功能網(wǎng)表,并使用UNISIM庫。
  • 實現(xiàn)后功能仿真:實現(xiàn)后,設(shè)計已經(jīng)在硬件中完成布局和布線工作,實現(xiàn)后功能仿真可以確保物理優(yōu)化不會影響到設(shè)計的功能性。運(yùn)行時,會生成一個功能網(wǎng)表,并使用UNISIM庫。
  • 綜合后時序仿真:該仿真使用器件模型中估算的時間延遲,并且不包括內(nèi)部連線延遲。通用的邏輯轉(zhuǎn)換為器件相關(guān)的原語后,可以使用估算的布線和組件間延遲。使用此仿真可以在實現(xiàn)之前查看潛在的時序嚴(yán)苛路徑。
  • 實現(xiàn)后時序仿真:該仿真使用真實的時間延遲。使用該仿真來檢查設(shè)計功能是否能工作在設(shè)定的速度上,可以檢測出設(shè)計中未約束的路徑、異步路徑時序錯誤(比如異步復(fù)位)。

添加仿真啟動腳本文件

設(shè)計者可以創(chuàng)建一個批處理文件,添加到工程中,其中的命令將在仿真開始后運(yùn)行,常用的流程步驟如下所示:
1. 創(chuàng)建一個包含仿真命令的Tcl腳本,比如如果想仿真運(yùn)行到5μs,添加“run 5us”命令;如果想監(jiān)測設(shè)計內(nèi)部信號,將其添加到波形窗口中,添加“add_wave/top/I1/signalName”命令;
2. 將腳本文件命名為post.tcl并保存;
3. 將post.tcl文件以仿真源文件的形式添加到工程中,會顯示在Sources窗口的Simulation文件夾下;
4. 在仿真工具欄中點(diǎn)擊“Relaunch”重新編譯運(yùn)行仿真,Vivado會自動讀取并執(zhí)行文件中的命令。

編輯:hfy


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 控制仿真
    +關(guān)注

    關(guān)注

    0

    文章

    7

    瀏覽量

    8216
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65856
  • 時序仿真
    +關(guān)注

    關(guān)注

    0

    文章

    14

    瀏覽量

    7392
收藏 人收藏

    評論

    相關(guān)推薦

    時序邏輯電路有記憶功能

    時序邏輯電路確實具有記憶功能 。這一特性是時序邏輯電路與組合邏輯電路的本質(zhì)區(qū)別之一。
    的頭像 發(fā)表于 08-29 10:31 ?169次閱讀

    FPGA設(shè)計中 Verilog HDL實現(xiàn)基本的圖像濾波處理仿真

    今天給大俠帶來FPGA設(shè)計中用Verilog HDL實現(xiàn)基本的圖像濾波處理仿真,話不多說,上貨。 1、用matlab代碼,準(zhǔn)備好把圖片轉(zhuǎn)化成Vivado Simulator識別的格式,即每行一
    發(fā)表于 05-20 16:44

    STM8S在IAR軟件仿真Simulator怎么查看運(yùn)行時間?

    STM8S 在IAR軟件仿真Simulator怎么查看運(yùn)行時間?在某些芯片通信時,會要求延時5us,10us,150ms等,這些延時不需要太精確,只要大概就好,但怎么在Simulator
    發(fā)表于 05-09 07:48

    請問使用keil軟件仿真功能不能對stm32的SPI進(jìn)行仿真?

    最近有個項目,使用SPI接口對某芯片進(jìn)行通信,已經(jīng)沒有問題,可以進(jìn)行正常讀寫。最近閑來無聊想使用keil軟件仿真功能simulator觀察SPI時序(使用示波器直接觀察管腳波形就另當(dāng)
    發(fā)表于 05-08 08:29

    Simulator下如何仿真串口?

    有人用過IAR for STM8的Simulator嗎?Simulator下如何仿真串口?
    發(fā)表于 05-06 06:28

    fpga時序仿真功能仿真的區(qū)別

    FPGA時序仿真功能仿真在芯片設(shè)計和驗證過程中各自扮演著不可或缺的角色,它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:28 ?1471次閱讀

    fpga仿真文件怎么寫

    首先,你需要選擇一個FPGA仿真軟件,如ModelSim、Vivado、Quartus II等。這些軟件都提供了強(qiáng)大的仿真功能,可以幫助你驗證FPGA設(shè)計的正確性。
    的頭像 發(fā)表于 03-15 14:00 ?548次閱讀

    為什么我的IAR for msp 7.12.1的Debugger選項只有FET和simulator仿真,沒有JLINK選項?

    如題,為什么我的IAR for msp 7.12.1的Debugger選項只有FET和simulator仿真,沒有JLINK選項,已經(jīng)重新下載過了,還是不行,上網(wǎng)找也沒人出現(xiàn)我這種情況的,有沒有老哥
    發(fā)表于 03-15 07:34

    在做仿真時有沒有辦法更好得模擬跨時鐘域的情況?

    首先需要指出本文題目所指的仿真指的是 功能仿真,即不帶時序信息的仿真
    的頭像 發(fā)表于 01-24 10:01 ?327次閱讀
    在做<b class='flag-5'>仿真</b>時有沒有辦法更好得模擬跨時鐘域的情況?

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發(fā)表于 01-05 10:18 ?1440次閱讀

    芯片前仿真和后仿真的區(qū)別

    是指在芯片設(shè)計過程中,對電路的功能和性能進(jìn)行仿真驗證的環(huán)節(jié)。它主要關(guān)注電路的功能性、時序和功耗等方面,以確保設(shè)計的正確性和可行性。前仿真通常
    的頭像 發(fā)表于 12-13 15:06 ?5733次閱讀

    使用JTAG仿真器在vivado環(huán)境下抓信號時報錯咋辦?

    在使用JTAG仿真器在vivado環(huán)境下抓信號時,報如下錯誤:
    的頭像 發(fā)表于 11-14 10:37 ?2974次閱讀

    Vivado2018版本中Modelsim的配置

    Vivado自帶的仿真工具在一些基本功能仿真測試時是可以滿足的,但如果你的工程較為龐大,那么自帶的仿真工具將有些勉強(qiáng),除了在數(shù)據(jù)輸出方面的
    的頭像 發(fā)表于 11-08 14:47 ?1503次閱讀
    <b class='flag-5'>Vivado</b>2018版本中Modelsim的配置

    Vivado Design Suite 用戶指南:編程和調(diào)試

    Vivado Design Suite 用戶指南:編程和調(diào)試》 文檔涵蓋了以下設(shè)計進(jìn)程: 硬件、IP 和平臺開發(fā) : 為硬件平臺創(chuàng)建 PL IP 塊、創(chuàng)建 PL 內(nèi)核、功能仿真以及評估 AMD
    的頭像 發(fā)表于 10-25 16:15 ?743次閱讀
    <b class='flag-5'>Vivado</b> Design Suite 用戶指南:編程和調(diào)試

    電源時序器有穩(wěn)壓功能嗎?電源時序器是干什么用的?

    電源時序器有穩(wěn)壓功能嗎?電源時序器是干什么用的? 電源時序器并不一定有穩(wěn)壓功能,但是在某些情況下,電源
    的頭像 發(fā)表于 10-16 16:16 ?3514次閱讀