0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何用Tcl實(shí)現(xiàn)Vivado設(shè)計(jì)流程介紹

FPGA技術(shù)驛站 ? 來源:搜狐網(wǎng) ? 作者:搜狐網(wǎng) ? 2020-10-21 10:58 ? 次閱讀

Vivado有兩種工作模式:project模式和non-project模式。這兩種模式都可以借助VivadoIDE或Tcl命令來運(yùn)行。相比之下,VivadoIDE給project模式提供了更多的好處,而Tcl命令使得non-project模式運(yùn)行起來更簡(jiǎn)單。

在project模式下,Vivado會(huì)自動(dòng)管理整個(gè)設(shè)計(jì)流程和文件數(shù)據(jù)。最直接的體現(xiàn)是在Vivado左側(cè)導(dǎo)航Flow Navigator下會(huì)顯示所有流程,從設(shè)計(jì)輸入到最終生成bit文件。同時(shí),Vivado會(huì)自動(dòng)創(chuàng)建相應(yīng)的文件目錄,生成相應(yīng)的文件例如dcp以及相應(yīng)的報(bào)告。

Non-project模式是一種內(nèi)存編譯流程。用戶可以從指定位置讀取文件到內(nèi)存中,然后進(jìn)行編譯??梢灾鸩綀?zhí)行每個(gè)步驟如綜合、布局、布線等,可以根據(jù)需求設(shè)定編譯參數(shù)。這一切都可以通過Tcl命令完成。顯然,non-project模式下,用戶可以通過Tcl命令管理設(shè)計(jì)文件和設(shè)計(jì)流程,體現(xiàn)了該模式的主要優(yōu)勢(shì)即用戶對(duì)設(shè)計(jì)流程有完全的掌控力。

Project模式和non-project模式的主要區(qū)別如下圖所示。不難看出,project模式下更多的管理、操作都是“自動(dòng)”完成的;而non-project模式下,則是“手工”完成的。

從Tcl命令的角度而言,project和non-project模式也是不同的,如下圖所示。Project模式下用到的Tcl命令是一種打包的命令,例如添加設(shè)計(jì)文件需用add_files,文件可以是HDL(包括VHDL,Verilog或SystemVerilog),可以是約束文件(包括.xdc或.tcl),可以是網(wǎng)表文件(可以是dcp,ngc或edif)等。Implementation時(shí),命令launch_runs就可以按照指定的策略完成所有的步驟,包括生成相應(yīng)的DCP。Non-project模式下用到的Tcl命令是分立的,例如,讀入設(shè)計(jì)文件,如果是VHDL,需要用到read_vhdl;如果是Verilog,需要用到read_verilog,如果是.xdc,需要用到read_xdc;如果是DCP,需要用到read_checkpoint;如果是EDIF,需要用到read_edif。而在implementation時(shí),需要分別用到opt_design、place_design、phys_opt_design和route_design等。

因?yàn)門cl命令使得non-project模式更能簡(jiǎn)單地運(yùn)行,這里我們將介紹如何通過Tcl命令在non-project模式下完成所有步驟。
責(zé)任編輯人:CC

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1699

    瀏覽量

    88266
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65853

原文標(biāo)題:用Tcl實(shí)現(xiàn)Vivado設(shè)計(jì)全流程(1)

文章出處:【微信號(hào):Lauren_FPGA,微信公眾號(hào):FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Vivado圖形化界面IDE中運(yùn)行和調(diào)試Tcl命令

    。Xilinx公司從ISE工具的后期開始,在工具中引入了對(duì)tcl語言的支持。在目前廣泛使用的設(shè)計(jì)工具Vivado中,更是集成了tcl解釋器,實(shí)現(xiàn)了對(duì)
    發(fā)表于 06-17 14:52

    TCL 定制 Vivado 設(shè)計(jì)實(shí)現(xiàn)流程

    今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進(jìn)階》系列:用TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程。 上一篇《
    發(fā)表于 06-28 19:34

    Vivado設(shè)計(jì)之Tcl定制化的實(shí)現(xiàn)流程

    其實(shí)TclVivado中還有很多延展應(yīng)用,接下來我們就來討論如何利用Tcl語言的靈活性和可擴(kuò)展性,在Vivado實(shí)現(xiàn)定制化的FPGA設(shè)計(jì)
    發(fā)表于 11-18 01:48 ?3489次閱讀
    <b class='flag-5'>Vivado</b>設(shè)計(jì)之<b class='flag-5'>Tcl</b>定制化的<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>流程</b>

    TclVivado中的基礎(chǔ)應(yīng)用

    Vivado使用技巧的最大障礙,以至于兩年多后的今天,仍有很多用戶缺乏升級(jí)到Vivado的信心。本文介紹TclVivado中的基礎(chǔ)應(yīng)用,
    發(fā)表于 11-18 03:52 ?4850次閱讀
    <b class='flag-5'>Tcl</b>在<b class='flag-5'>Vivado</b>中的基礎(chǔ)應(yīng)用

    Vivado使用誤區(qū)與進(jìn)階——在Vivado實(shí)現(xiàn)ECO功能

    關(guān)于TclVivado中的應(yīng)用文章從Tcl的基本語法和在Vivado中的應(yīng)用展開,介紹了如何擴(kuò)展甚至是定制FPGA設(shè)計(jì)
    發(fā)表于 11-18 18:26 ?5254次閱讀
    <b class='flag-5'>Vivado</b>使用誤區(qū)與進(jìn)階——在<b class='flag-5'>Vivado</b>中<b class='flag-5'>實(shí)現(xiàn)</b>ECO功能

    VivadoTCL腳本語言基本語法介紹

    TCL腳本語言 Tcl(Tool Command Language)是一種很通用的腳本語言,它幾乎在所有的平臺(tái)上都可以解釋運(yùn)行,而且VIVADO也提供了TCL命令行。最近發(fā)現(xiàn)
    發(fā)表于 04-11 12:09 ?9641次閱讀

    如何使用Tcl命令語言讓Vivado HLS運(yùn)作

    了解如何使用Tcl命令語言以批處理模式運(yùn)行Vivado HLS并提高工作效率。 該視頻演示了如何從現(xiàn)有的Vivado HLS設(shè)計(jì)輕松創(chuàng)建新的Tcl批處理腳本。
    的頭像 發(fā)表于 11-20 06:06 ?3065次閱讀

    Tcl定制Vivado設(shè)計(jì)流程詳解

    工程模式的關(guān)鍵優(yōu)勢(shì)在于可以通過在Vivado 中創(chuàng)建工程的方式管理整個(gè)設(shè)計(jì)流程,包括工程文件的位置、階段性關(guān)鍵報(bào)告的生成、重要數(shù)據(jù)的輸出和存儲(chǔ)等。
    的頭像 發(fā)表于 07-24 17:30 ?4466次閱讀
    用<b class='flag-5'>Tcl</b>定制<b class='flag-5'>Vivado</b>設(shè)計(jì)<b class='flag-5'>流程</b>詳解

    FPGA設(shè)計(jì)中TclVivado中的基礎(chǔ)應(yīng)用

    Tcl介紹 Vivado是Xilinx最新的FPGA設(shè)計(jì)工具,支持7系列以后的FPGA及Zynq 7000的開發(fā)。與之前的ISE設(shè)計(jì)套件相比,Vivado可以說是全新設(shè)計(jì)的。無論從界面
    的頭像 發(fā)表于 11-17 17:32 ?2428次閱讀

    Vivado中常用TCL命令匯總

    Vivado是Xilinx推出的可編程邏輯設(shè)備(FPGA)軟件開發(fā)工具套件,提供了許多TCL命令來簡(jiǎn)化流程和自動(dòng)化開發(fā)。本文將介紹Vivado
    的頭像 發(fā)表于 04-13 10:20 ?2983次閱讀

    TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程

    今天推出Xilinx已發(fā)布的《Vivado使用誤區(qū)與進(jìn)階》系列:用TCL定制Vivado設(shè)計(jì)實(shí)現(xiàn)流程
    的頭像 發(fā)表于 05-05 09:44 ?952次閱讀
    用<b class='flag-5'>TCL</b>定制<b class='flag-5'>Vivado</b>設(shè)計(jì)<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>流程</b>

    Vivado實(shí)現(xiàn)ECO功能

    關(guān)于 TclVivado中的應(yīng)用文章從 Tcl 的基本語法和在 Vivado 中的 應(yīng)用展開,繼上篇《用 Tcl 定制
    的頭像 發(fā)表于 05-05 15:34 ?2278次閱讀
    在<b class='flag-5'>Vivado</b>中<b class='flag-5'>實(shí)現(xiàn)</b>ECO功能

    vivado仿真流程

    vivado開發(fā)軟件自帶了仿真工具,下面將介紹vivado的仿真流程,方便初學(xué)者進(jìn)行仿真實(shí)驗(yàn)。
    的頭像 發(fā)表于 07-18 09:06 ?3836次閱讀
    <b class='flag-5'>vivado</b>仿真<b class='flag-5'>流程</b>

    Vivado設(shè)計(jì)套件Tcl命令參考指南

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件Tcl命令參考指南.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 10:23 ?1次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件<b class='flag-5'>Tcl</b>命令參考指南

    Vivado設(shè)計(jì)套件用戶指南:使用Tcl腳本

    電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件用戶指南:使用Tcl腳本.pdf》資料免費(fèi)下載
    發(fā)表于 09-14 14:59 ?0次下載
    <b class='flag-5'>Vivado</b>設(shè)計(jì)套件用戶指南:使用<b class='flag-5'>Tcl</b>腳本