0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

一起體驗(yàn)Vivado 的ECO流程

YCqV_FPGA_EETre ? 來源:賽靈思中文社區(qū)論壇 ? 作者:Hong Han ? 2020-10-26 09:45 ? 次閱讀

作者:Hong Han,來源:賽靈思中文社區(qū)論壇

有時(shí)我們需要在設(shè)計(jì)網(wǎng)表的基礎(chǔ)上微調(diào)一下邏輯,這樣可以無需修改代碼,也無需重新做綜合,在設(shè)計(jì)調(diào)試中可以節(jié)省時(shí)間同時(shí)維持其他邏輯無任何改動(dòng)。

這里帶大家一起體驗(yàn)一下Vivado 的ECO流程,以vivado自帶的Example Design為例, 直接用TCL命令修改網(wǎng)表,在正常的寄存器路徑之間加一級LUT。

1. 打開Vivado 界面

2. 打開Example Design "Wavegen":

File -> Project -> Open Example

選中Wavegen(HDL), 器件選擇xcku035

3. 點(diǎn)擊左側(cè)Flow Navigator 窗口 Run Implementation 按鈕, 完成綜合實(shí)現(xiàn).

4. 打開Implemented Design (點(diǎn)擊左側(cè)Flow Navigator 窗口 Open Implemented Design 按鈕)

5. 選一條兩個(gè)寄存器之間的路徑,運(yùn)行以下命令,選中打印出的路徑,雙擊可以查看時(shí)序報(bào)告,F(xiàn)4 鍵可以打開這條路徑的原理圖

%report_timing -from [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg] -to [get_cells clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg] -delay_type max -name test1

可以看到Data Path的布線延遲是0.504ns

路徑的原理圖

6. 把目的寄存器的D端從net上斷下來

%disconnect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

在這里獲取操作對象(net, Pin) 的方法: 在原理圖中選中對象,然后查看走下角Property 窗口中的NAME 屬性

Pin被從Net上斷開后,會(huì)在原理圖上顯示n/c

7. 創(chuàng)建一個(gè)LUT1,并設(shè)置LUT的INIT property

%create_cell -reference LUT1clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 %set_property INIT 2'h1 [get_cells clkx_spd_i0/meta_harden_bus_new_i0/my_lut1]

可以看到這個(gè)新創(chuàng)建的LUT1所有端口(Pin)都是懸空的. 接下來的步驟要將這些pin連接到合適的net上.

8. 把LUT1的輸入端口連接到之前斷開的net上.

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/signal_meta_reg_n_0 -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/I0}

9. 創(chuàng)建一個(gè)新的net用來連接LUT1的輸出pin和之前斷下來的寄存器D pin

%create_net clkx_spd_i0/meta_harden_bus_new_i0/my_net

10. 連接LUT1的輸出pin和之前斷下來的寄存器D pin 到新創(chuàng)建的net上

%connect_net -net clkx_spd_i0/meta_harden_bus_new_i0/my_net -objects {clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/O clkx_spd_i0/meta_harden_bus_new_i0/signal_dst_reg/D}

11. 在Netlist窗口選窗口選中新建的LUT1,將其拖曳到Device中空著的slice LUT bel中

對應(yīng)的命令:

place_cell clkx_spd_i0/meta_harden_bus_new_i0/my_lut1 SLICE_X52Y83/B6LUT

12. 對新的LUT1兩端的net進(jìn)行布線

%route_design -nets [get_nets -of [get_pins clkx_spd_i0/meta_harden_bus_new_i0/my_lut1/*]]

13.檢查布線結(jié)果確保沒有布線錯(cuò)誤

%report_route_status

14.用步驟5的命令重新報(bào)一下時(shí)序

15. 生成bit文件

%write_bitstream test.bit

原文標(biāo)題:【干貨分享】用ECO腳本的方式在網(wǎng)表中插入LUT1

文章出處:【微信公眾號(hào):FPGA開發(fā)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5253

    瀏覽量

    119205
  • ECO
    ECO
    +關(guān)注

    關(guān)注

    0

    文章

    51

    瀏覽量

    14817
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65855

原文標(biāo)題:【干貨分享】用ECO腳本的方式在網(wǎng)表中插入LUT1

文章出處:【微信號(hào):FPGA-EETrend,微信公眾號(hào):FPGA開發(fā)圈】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    將5G信號(hào)鏈與電平轉(zhuǎn)換結(jié)合在一起

    電子發(fā)燒友網(wǎng)站提供《將5G信號(hào)鏈與電平轉(zhuǎn)換結(jié)合在一起.pdf》資料免費(fèi)下載
    發(fā)表于 09-18 14:49 ?0次下載
    將5G信號(hào)鏈與電平轉(zhuǎn)換結(jié)合在<b class='flag-5'>一起</b>

    模擬地和電源地能接在一起

    模擬地和電源地是否能接在一起,取決于電子系統(tǒng)的具體要求和設(shè)計(jì)。在電子系統(tǒng)中,地(Ground)是個(gè)共同的參考點(diǎn),用于構(gòu)建電位參考平面。電源地是所有電源網(wǎng)絡(luò)的參考點(diǎn),用于確保電源的穩(wěn)定性和系統(tǒng)的正常工作。模擬地則與模擬電路相關(guān),用于提供參考電位。
    的頭像 發(fā)表于 09-15 11:43 ?143次閱讀

    DAC8771RGZ電流輸出端IOUT和電壓輸VOUT出端是連在一起的,是否可以不并在一起

    請教下DAC8771RGZ這款芯片,看官方demo板,電流輸出端IOUT和電壓輸VOUT出端是連在一起的,是否可以不并在一起,分成兩路,單獨(dú)分別輸出電流或電壓嗎?
    發(fā)表于 08-08 07:59

    普通門電路的輸出端能否連在一起

    普通門電路的輸出端能否連在一起,取決于具體的應(yīng)用場景和需求。普通門電路的輸出端能否連在一起個(gè)復(fù)雜的問題,涉及到數(shù)字電路設(shè)計(jì)、邏輯電路分析、信號(hào)完整性、電源管理等多個(gè)方面。 門電路的基本概念 在
    的頭像 發(fā)表于 07-30 15:13 ?295次閱讀

    可以將USB主機(jī)與Esp8266一起使用嗎?

    我可以將 USB 主機(jī)(USB A 型母頭)與 Esp8266 一起使用嗎? 為什么我不能使用它
    發(fā)表于 07-19 06:49

    如何將atoi與esp8266 sdk一起使用?

    有誰知道如何將 atoi 與 esp8266 sdk 一起使用?我似乎找不到可以提供它的頭文件。 I\'m using \"ESP8266_NONOS_SDK_V1.5.4_16_05_20\"
    發(fā)表于 07-09 07:59

    adc2和藍(lán)牙一起使用會(huì)異常的原因?

    ESP32的ADC2的通道0(gpio4)與藍(lán)牙一起使用時(shí)采集的ad值異常,而未開啟藍(lán)牙時(shí)ad值正常,請問adc2的通道0有使用限制還是怎么樣? 藍(lán)牙啟動(dòng)以后ad采集值直接滿量程,而實(shí)際電壓還是剛上電時(shí)采集的電壓 藍(lán)牙未啟動(dòng)時(shí)ad采集
    發(fā)表于 06-21 07:16

    數(shù)字地跟模擬地能不能接一起,數(shù)字地跟模擬地的區(qū)別

    數(shù)字地和模擬地在些情況下可以接在一起,但在另外些情況下需要分開處理。這主要取決于具體的應(yīng)用場景和設(shè)計(jì)要求。
    的頭像 發(fā)表于 06-10 16:31 ?1908次閱讀

    六類網(wǎng)線可以和強(qiáng)電一起走嗎

    六類網(wǎng)線理論上不建議和強(qiáng)電一起走。從布線規(guī)范的角度來看,弱電線路和強(qiáng)電線路通常不建議共用同橋架,以避免潛在的電磁干擾。然而,多年的施工經(jīng)驗(yàn)表明,在某些情況下,強(qiáng)電線和弱電網(wǎng)線可能一起
    的頭像 發(fā)表于 04-19 09:55 ?3808次閱讀

    如何在AMD Vivado? Design Tool中用工程模式使用DFX流程?

    本文介紹了在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體步驟映射到相應(yīng)的 DFX 非工程模式的步驟,這樣才能更好地理解整個(gè)流程的運(yùn)行
    的頭像 發(fā)表于 04-17 09:28 ?517次閱讀
    如何在AMD <b class='flag-5'>Vivado</b>? Design Tool中用工程模式使用DFX<b class='flag-5'>流程</b>?

    #新開端、新起點(diǎn),2024一起加油#

    \"新開端、新起點(diǎn),2024一起加油\" 這句話充滿了積極向上的精神和對未來的期待。新開端和新起點(diǎn)意味著我們有機(jī)會(huì)摒棄過去的不足,以個(gè)全新的姿態(tài)開始新的旅程。而\"
    發(fā)表于 02-26 21:01

    AD7606的AGND和VXGND是否定要接在一起?

    你好: 想咨詢下,我們正使用貴公司AD7606作為模擬輸入轉(zhuǎn)換芯片,在使用過程中遇到了個(gè)問題,因?yàn)樾酒腁GND和VXGND分開設(shè)計(jì)沒有共接在一起,這樣VXGND和VIN就可以實(shí)現(xiàn)正負(fù)電壓采集
    發(fā)表于 12-14 07:36

    2片AD5410的GND和AVDD是否可以連到一起?

    如題,使用2片AD5410,2片AD5410的GND和AVDD是否可以連到一起,之前看到AD421的相關(guān)資料有介紹,2片AD421的COM是不能連接的一起的.
    發(fā)表于 12-06 07:44

    單片機(jī)的蜂鳴器是否可以與小燈一起使用?

    單片機(jī)的蜂鳴器是否可以與小燈一起使用
    發(fā)表于 10-31 06:40

    不同品牌的電容器可以一起使用嗎?

    電容器作為電子設(shè)備中不可或缺的元件之,扮演著儲(chǔ)存電荷和調(diào)節(jié)電流的重要角色。然而,在面對市面上眾多品牌的電容器時(shí),我們可能會(huì)產(chǎn)生個(gè)疑問:不同品牌的電容器能否一起使用?
    的頭像 發(fā)表于 10-09 15:14 ?1992次閱讀