0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

英特爾放棄打造先進(jìn)制程晶體管?

我快閉嘴 ? 來源:愛集微 ? 作者:小山 ? 2020-10-26 11:18 ? 次閱讀

英特爾近日公布的2020財年第三季度業(yè)績顯示,該公司當(dāng)季營收為183.3億美元,同比下降4%;凈利潤為42.8億美元,同比下降28.6%。分析人士警告稱,英特爾的經(jīng)營困境恐怕才剛開始。

臺媒MoneyDJ援引MarketWatch的消息稱,英特爾本次財報會并未對轉(zhuǎn)型計劃多做評論,高層僅承諾會在2021年1月提供更多信息。不過,美國銀行分析師Vivek Arya認(rèn)為,英特爾遇到的制造難題恐怕無法輕易解決,尤其是在高度競爭的芯片業(yè)。

原因在于,英特爾的龐大規(guī)??峙聲屧摴驹趯ふ揖A代工伙伴時遭遇挑戰(zhàn)。

Vivek Arya說,英特爾究竟要部份還是完全轉(zhuǎn)型為IC設(shè)計商,目前仍不清楚?,F(xiàn)在也不知道晶圓代工廠是否還有多余產(chǎn)能為英特爾制造晶體管,或愿不愿意在短時間內(nèi)幫助競爭對手,待后者改善內(nèi)部制程后撤單,最終留下一座空蕩蕩的晶圓廠。

另外,Jefferies分析師Mark Lipacis發(fā)表研究報告指出,若臺積電同意在英特爾積極追趕時、以先進(jìn)制程為英特爾打造CPU,那么臺積電等于是在幫英特爾翻身,最終拱手讓出AMD及Nvidia這兩個高成長客戶的訂單。

從戰(zhàn)略的角度來看,Mark Lipacis相信只有在英特爾放棄打造先進(jìn)制程晶體管的前提下,臺積電才會為英特爾代工CPU。

英特爾首席執(zhí)行官鮑勃?斯旺(Bob Swan)在財報電話會議上針對延遲上市的7nm芯片指出,公司將在2021年初決定是采用自己的技術(shù)還是交由第三方代工生產(chǎn)7納米芯片。
責(zé)任編輯:tzh

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49639

    瀏覽量

    417240
  • IC
    IC
    +關(guān)注

    關(guān)注

    36

    文章

    5787

    瀏覽量

    174397
  • cpu
    cpu
    +關(guān)注

    關(guān)注

    68

    文章

    10702

    瀏覽量

    209428
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4743

    瀏覽量

    127289
收藏 人收藏

    評論

    相關(guān)推薦

    技術(shù)前沿:“環(huán)抱”晶體管與“三明治”布線

    晶體管和PowerVia背面供電技術(shù)。這兩項(xiàng)技術(shù)首次成功集成于Intel 20A制程節(jié)點(diǎn),也將用于Intel 18A。 RibbonFET:柵極“環(huán)抱”晶體管 通過RibbonFET晶體管
    的頭像 發(fā)表于 09-11 17:57 ?209次閱讀
    技術(shù)前沿:“環(huán)抱”<b class='flag-5'>晶體管</b>與“三明治”布線

    英特爾基于Intel 18A制程節(jié)點(diǎn)處理器樣片成功出廠

    Clearwater Forest的樣片已成功出廠,并已上電運(yùn)行,順利啟動了操作系統(tǒng)。這一成就不僅展示了英特爾先進(jìn)制程技術(shù)上的深厚積累,也預(yù)示著高性能計算領(lǐng)域?qū)⒂瓉硇碌娘w躍。
    的頭像 發(fā)表于 08-07 16:50 ?349次閱讀

    英特爾是如何實(shí)現(xiàn)玻璃基板的?

    在今年9月,英特爾宣布率先推出用于下一代先進(jìn)封裝的玻璃基板,并計劃在未來幾年內(nèi)向市場提供完整的解決方案,從而使單個封裝內(nèi)的晶體管數(shù)量不斷增加,繼續(xù)推動摩爾定律,滿足以數(shù)據(jù)為中心的應(yīng)用的算力需求
    的頭像 發(fā)表于 07-22 16:37 ?214次閱讀

    英特爾CEO稱公司全力押注18A制程

    據(jù)悉,18A制程作為英特爾推動至技術(shù)領(lǐng)先地位的第五個階段,盡管未采用1.8納米制造工藝,但宣稱性能及晶體管密度均可與競爭對手的1.8納米工藝相媲美。
    的頭像 發(fā)表于 03-01 16:14 ?447次閱讀

    英特爾押注18A制程,力爭重回技術(shù)領(lǐng)先地位

    據(jù)悉,18A 制程英特爾技術(shù)引領(lǐng)道路上的關(guān)鍵階段,雖非直接采用 1.8納米工藝,英特爾仍自豪宣稱其性能與晶體管密度媲美友商的 1.8 nm制程
    的頭像 發(fā)表于 02-29 15:13 ?543次閱讀

    英特爾拿下微軟芯片代工訂單

    英特爾近日在美國圣荷西舉行的首次晶圓代工活動中公布了其雄心勃勃的制程延伸藍(lán)圖。該公司首席執(zhí)行官在會上表示,通過采用Intel 18A先進(jìn)制程技術(shù),英特爾期望在2025年之前重新奪回
    的頭像 發(fā)表于 02-26 10:01 ?544次閱讀

    英特爾推出面向AI時代的系統(tǒng)級代工,并更新制程技術(shù)路線圖

    英特爾公司近日宣布,將推出全新的系統(tǒng)級代工服務(wù)——英特爾代工(Intel Foundry),以滿足AI時代對先進(jìn)制程技術(shù)的需求。這一舉措標(biāo)志著英特爾在半導(dǎo)體制造領(lǐng)域的戰(zhàn)略擴(kuò)張,并為其客
    的頭像 發(fā)表于 02-23 18:23 ?1384次閱讀

    英特爾宣布推進(jìn)1.4納米制程

    ,臺積電和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實(shí)現(xiàn)了5納米制程。然而,這一決定表明英特爾有意在制程技術(shù)領(lǐng)域迎頭趕上,計劃在未來幾年內(nèi)推
    的頭像 發(fā)表于 02-23 11:23 ?380次閱讀

    英特爾3D封裝工藝進(jìn)入量產(chǎn),集成萬億晶體管

    眾所周知,整個半導(dǎo)體領(lǐng)域正邁進(jìn)一個同時整合多個‘芯粒’(Chiplets,也被稱為‘小芯片’)在同一封裝中的多元時代?;诖耍?b class='flag-5'>英特爾的 Foveros 及新型 EMIB(嵌入式多芯片互連橋接)等高級封裝解決方案被譽(yù)為能將一萬億個晶體管融于單一封裝之內(nèi)
    的頭像 發(fā)表于 01-26 09:44 ?455次閱讀

    英特爾:2030年前實(shí)現(xiàn)單個封裝內(nèi)集成1萬億個晶體管

    12月9日,英特爾在IEDM 2023(2023 IEEE 國際電子器件會議)上展示了使用背面電源觸點(diǎn)將晶體管縮小到1納米及以上范圍的關(guān)鍵技術(shù)。英特爾表示將在2030年前實(shí)現(xiàn)在單個封裝內(nèi)集成1萬億個
    的頭像 發(fā)表于 12-28 13:58 ?606次閱讀

    英特爾展示下一代晶體管微縮技術(shù)突破,將用于未來制程節(jié)點(diǎn)

    在IEDM 2023上,英特爾展示了結(jié)合背面供電和直接背面觸點(diǎn)的3D堆疊CMOS晶體管,這些開創(chuàng)性的技術(shù)進(jìn)展將繼續(xù)推進(jìn)摩爾定律。
    的頭像 發(fā)表于 12-11 16:31 ?530次閱讀

    英特爾宣布完成PowerVia背面供電技術(shù)的開發(fā)

    英特爾在2023年國際電子設(shè)備制造大會上宣布,他們已經(jīng)成功完成了一項(xiàng)名為PowerVia的背面供電技術(shù)的開發(fā)。這個技術(shù)是基于英特爾的最新晶體管研究成果,它實(shí)現(xiàn)了互補(bǔ)金屬氧化物半導(dǎo)體場效應(yīng)晶體管
    的頭像 發(fā)表于 12-11 16:10 ?742次閱讀
    <b class='flag-5'>英特爾</b>宣布完成PowerVia背面供電技術(shù)的開發(fā)

    英特爾:玻璃基板將推動算力提升

    ? ? ? ?在今年9月,英特爾宣布率先推出用于下一代先進(jìn)封裝的玻璃基板,并計劃在未來幾年內(nèi)向市場提供完整的解決方案,從而使單個封裝內(nèi)的晶體管數(shù)量不斷增加,繼續(xù)推動摩爾定律,滿足以數(shù)據(jù)為中心
    的頭像 發(fā)表于 12-06 09:31 ?362次閱讀

    臺積電、三星、英特爾先進(jìn)制程競爭白熱化

    英特爾執(zhí)行長PatGelsinger 透露,18A 已取得三家客戶代工訂單,希望年底前爭取到第四位客戶,先進(jìn)制程18A 計劃于2024 年底開始生產(chǎn),其中一位客戶已先付款,外界預(yù)期可能是英偉達(dá)或高通。
    的頭像 發(fā)表于 11-19 10:08 ?1078次閱讀
    臺積電、三星、<b class='flag-5'>英特爾</b><b class='flag-5'>先進(jìn)制程</b>競爭白熱化

    #高通 #英特爾 #Elite 高通X Elite芯片或終結(jié)蘋果、英特爾的芯片王朝

    高通英特爾蘋果
    深圳市浮思特科技有限公司
    發(fā)布于 :2023年10月27日 16:46:07