0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用運(yùn)行系統(tǒng)仿真器仿真獨(dú)立于程序的已連接PLC變量的過程值

機(jī)器人及PLC自動(dòng)化應(yīng)用 ? 來源:機(jī)器人及PLC自動(dòng)化應(yīng)用 ? 作者:機(jī)器人及PLC自動(dòng)化 ? 2020-10-30 16:14 ? 次閱讀

使用運(yùn)行系統(tǒng)仿真器仿真獨(dú)立于程序的已連接 PLC 變量的過程值。 可使用運(yùn)行系統(tǒng)仿真器表選擇 PLC 變量并修改它們的值。 盡管變量是由運(yùn)行系統(tǒng)中的 PLC 程序進(jìn)行設(shè)置的,HMI 畫面中的對(duì)象仍會(huì)做出響應(yīng)。

要啟動(dòng) HMI 畫面的仿真,請(qǐng)按以下步驟操作:

1.通過菜單欄啟動(dòng)運(yùn)行系統(tǒng)仿真。HMI 窗口必須處于活動(dòng)狀態(tài)。 如果菜單未激活,則先單擊 HMI 畫面中的空閑區(qū)域。顯示 HMI 畫面,同時(shí)紅色 LED 燈會(huì)閃爍(機(jī)器關(guān)閉)。

此時(shí)會(huì)啟動(dòng)運(yùn)行系統(tǒng)仿真。 啟動(dòng)仿真后,“運(yùn)行系統(tǒng)仿真器”(RT Simulator) 窗口中將顯示 HMI 畫面,同時(shí)紅色 LED 燈會(huì)閃爍(機(jī)器關(guān)閉)。

2.啟動(dòng)機(jī)器。

如果運(yùn)行系統(tǒng)仿真由于項(xiàng)目中的錯(cuò)誤而無法啟動(dòng),則相應(yīng)的錯(cuò)誤消息會(huì)顯示在巡視窗口中的“信息 > 編譯”(Info > Compile) 下。 雙擊錯(cuò)誤消息時(shí),會(huì)自動(dòng)導(dǎo)航到未正確組態(tài)的 HMI 對(duì)象。

運(yùn)動(dòng)仿真已啟動(dòng)。 同時(shí)綠色 LED(而不是紅色 LED)閃爍。 如果再次單擊“設(shè)備開/關(guān)”(Machine ON/OFF) 按鈕,瓶子將不再可見,同時(shí)紅色 LED(而不是綠色 LED)閃爍。 要退出運(yùn)行系統(tǒng)仿真,請(qǐng)關(guān)閉窗口或單擊“退出運(yùn)行系統(tǒng)”(Exit runtime) 按鈕。

責(zé)任編輯:lq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • plc
    plc
    +關(guān)注

    關(guān)注

    5001

    文章

    12948

    瀏覽量

    459272
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3972

    瀏覽量

    132967
  • 變量
    +關(guān)注

    關(guān)注

    0

    文章

    607

    瀏覽量

    28257

原文標(biāo)題:博途仿真運(yùn)行系統(tǒng)

文章出處:【微信號(hào):gh_a8b121171b08,微信公眾號(hào):機(jī)器人及PLC自動(dòng)化應(yīng)用】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    光耦仿真器簡(jiǎn)介

    電子發(fā)燒友網(wǎng)站提供《光耦仿真器簡(jiǎn)介.pdf》資料免費(fèi)下載
    發(fā)表于 08-28 11:45 ?0次下載
    光耦<b class='flag-5'>仿真器</b>簡(jiǎn)介

    仿真器的使用方法有哪些

    硬件。它可以幫助工程師在實(shí)際硬件或軟件部署之前,對(duì)設(shè)計(jì)進(jìn)行驗(yàn)證和調(diào)試。仿真器可以分為軟件仿真器和硬件仿真器兩種類型。 1.1 軟件仿真器 軟件仿真器
    的頭像 發(fā)表于 08-22 09:16 ?279次閱讀

    STM32L152芯片DMA讀UART2,脫離仿真器自己運(yùn)行時(shí)讀不到正確的為什么?

    求教:我用L152芯片讀UART2的固定長(zhǎng)度為14的內(nèi)容,接仿真器時(shí)能讀到正確的,但是脫離仿真器自己運(yùn)行時(shí)讀不到正確的,請(qǐng)問是什么原因?
    發(fā)表于 05-15 07:03

    fpga仿真器是什么?它有哪些優(yōu)勢(shì)?

    FPGA仿真器是一種用于模擬FPGA(現(xiàn)場(chǎng)可編程門陣列)硬件行為的軟件工具。它通過模擬FPGA內(nèi)部的邏輯電路、時(shí)序和接口等,幫助工程師在FPGA設(shè)計(jì)過程中進(jìn)行功能驗(yàn)證和性能測(cè)試。FPGA仿真器在FPGA開發(fā)流程中扮演著至關(guān)重要的
    的頭像 發(fā)表于 03-15 15:15 ?1126次閱讀

    fpga原型驗(yàn)證平臺(tái)與硬件仿真器的區(qū)別

    FPGA原型驗(yàn)證平臺(tái)與硬件仿真器在芯片設(shè)計(jì)和驗(yàn)證過程中各自發(fā)揮著獨(dú)特的作用,它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:07 ?839次閱讀

    fpga仿真器接口定義

    FPGA(Field-Programmable Gate Array,現(xiàn)場(chǎng)可編程門陣列)仿真器接口的定義主要依賴于仿真器的具體設(shè)計(jì)和所支持的通信協(xié)議。在FPGA的設(shè)計(jì)和仿真過程中,接口
    的頭像 發(fā)表于 03-15 14:01 ?924次閱讀

    利用UDE仿真器調(diào)試QSPI讀寫EEPROM過程中發(fā)現(xiàn)仿真器中觀察到的全局變量值不對(duì),沒有讀到數(shù)據(jù)怎么解決?

    在利用UDE仿真器調(diào)試QSPI讀寫EEPROM過程中發(fā)現(xiàn),單步運(yùn)行能夠讀取到數(shù)據(jù),如果全速運(yùn)行,示波器中讀取數(shù)據(jù)正確,但仿真器中觀察到的全局
    發(fā)表于 02-18 08:14

    光耦仿真器的優(yōu)勢(shì)有哪些

    光耦仿真器與業(yè)內(nèi)最常見的光耦合引腳對(duì)引腳兼容,有助于無縫集成到現(xiàn)有設(shè)計(jì)中,同時(shí)提供相同的信號(hào)行為。
    的頭像 發(fā)表于 01-30 15:07 ?443次閱讀

    快速原型開發(fā)板如何連接EZ-CUBE3仿真器

    EZ-CUBE3是具有Flash存儲(chǔ)編程功能的片上調(diào)試仿真器,可以用于調(diào)試MCU程序或?qū)?b class='flag-5'>程序燒寫到MCU的內(nèi)置Flash中。
    的頭像 發(fā)表于 01-25 09:19 ?2323次閱讀
    快速原型開發(fā)板如何<b class='flag-5'>連接</b>EZ-CUBE3<b class='flag-5'>仿真器</b>

    NuMaker_Uni脫離仿真器代碼無法運(yùn)行怎么解決?

    RT,使用NuMaker_Uni控制OLED,連接仿真器下載代碼正常,拔掉仿真器通過電池供電的5V端口供電MCU代碼不運(yùn)行(代碼中有控制LED閃爍,LED不亮),用示波器測(cè)試了Vcc,
    發(fā)表于 01-17 06:48

    xds100v3仿真器引腳定義

    xds100v3仿真器是德州儀器(Texas Instruments)推出的一款用于嵌入式系統(tǒng)開發(fā)和調(diào)試的仿真器。它具有良好的性能和穩(wěn)定性,可以提供準(zhǔn)確的調(diào)試和仿真功能,方便開發(fā)人員開
    的頭像 發(fā)表于 01-05 13:40 ?3534次閱讀

    仿真器和燒錄的區(qū)別

    仿真器和燒錄的區(qū)別? 仿真器和燒錄是電子技術(shù)領(lǐng)域中常見的兩種設(shè)備,它們?cè)陔娮?b class='flag-5'>系統(tǒng)的開發(fā)和調(diào)試過程
    的頭像 發(fā)表于 12-07 15:48 ?4466次閱讀

    JTAG仿真器接口設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《JTAG仿真器接口設(shè)計(jì).pdf》資料免費(fèi)下載
    發(fā)表于 11-27 10:05 ?0次下載
    JTAG<b class='flag-5'>仿真器</b>接口設(shè)計(jì)

    RTL8762AK用ARM仿真器怎么連接?

    RTL8762AK用ARM仿真器怎么連接?要不要接RESET
    發(fā)表于 11-01 07:18

    普中51仿真器驅(qū)動(dòng)安裝的說明手冊(cè)

    硬件仿真,免去了下載程序的麻煩操作,對(duì)于學(xué)習(xí)單片機(jī)的好處是很多的。還可以在線調(diào)試,對(duì)于以下難以發(fā)現(xiàn)的錯(cuò)誤可以通過仿真斷點(diǎn)調(diào)試找到并解決。廢話就不多說了,現(xiàn)在開始說說仿真器的使用方式:
    發(fā)表于 10-10 08:05