0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

用Tcl實現(xiàn)Vivado設(shè)計全流程

FPGA技術(shù)驛站 ? 來源:Lauren的FPGA ? 作者:Lauren的FPGA ? 2020-11-20 10:56 ? 次閱讀

在開始描述Non-project模式之前,我們要先確定執(zhí)行的操作,通常包括如下操作:

設(shè)置芯片型號,設(shè)置源文件位置,設(shè)置生成文件位置,添加設(shè)計源文件,流程命令,生成網(wǎng)表文件,設(shè)計分析,生成bitstream文件。其中,流程命令是指綜合、優(yōu)化、布局、物理優(yōu)化和布線。

設(shè)置芯片型號、源文件位置和生成文件位置如下圖所示(文件名run_v1.tcl)。代碼第5行設(shè)置了頂層模塊的名字,第6行設(shè)置了源文件的位置,第7行設(shè)置了綜合階段生成文件的位置,第8行設(shè)置了實現(xiàn)階段生成文件的位置。第10行至第14行則是實現(xiàn)階段的每個子步驟的Directive。


責(zé)任編輯:lq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49636

    瀏覽量

    417178
  • TCL
    TCL
    +關(guān)注

    關(guān)注

    10

    文章

    1699

    瀏覽量

    88272
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65855

原文標題:用Tcl實現(xiàn)Vivado設(shè)計全流程(2)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術(shù)驛站】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    萬界星空科技電線電纜MES系統(tǒng)實現(xiàn)線纜流程追溯

    萬界星空科技電線電纜行業(yè)的MES系統(tǒng)通過高度集成的數(shù)據(jù)平臺和強大的追溯功能,實現(xiàn)了線纜從原材料入庫到成品出庫的流程追溯。
    的頭像 發(fā)表于 09-19 15:14 ?67次閱讀

    如何獲取被復(fù)制的寄存器

    vivado -mode tclvivado -mode batch有什么區(qū)別?
    的頭像 發(fā)表于 09-18 10:48 ?93次閱讀
    如何獲取被復(fù)制的寄存器

    PCBA加工流程解析:電子制造的關(guān)鍵環(huán)節(jié)

    一站式PCBA智造廠家今天為大家講講PCBA加工流程的關(guān)鍵環(huán)節(jié)有那些?PCBA加工電子制造的關(guān)鍵環(huán)節(jié)流程解析。在電子制造行業(yè)中,PCBA加工作為核心環(huán)節(jié)之一,承擔(dān)著將電子元器件焊接到電路板上并組裝
    的頭像 發(fā)表于 09-18 09:51 ?106次閱讀

    FPGA設(shè)計中 Verilog HDL實現(xiàn)基本的圖像濾波處理仿真

    今天給大俠帶來FPGA設(shè)計中用Verilog HDL實現(xiàn)基本的圖像濾波處理仿真,話不多說,上貨。 1、matlab代碼,準備好把圖片轉(zhuǎn)化成Vivado Simulator識別的格式,即每行一
    發(fā)表于 05-20 16:44

    如何在AMD Vivado? Design Tool中用工程模式使用DFX流程

    本文介紹了在 AMD Vivado? Design Tool 中用工程模式使用 DFX 流程以及需要注意的地方。在使用 DFX 工程模式的過程中要把具體步驟映射到相應(yīng)的 DFX 非工程模式的步驟,這樣才能更好地理解整個流程的運行
    的頭像 發(fā)表于 04-17 09:28 ?517次閱讀
    如何在AMD <b class='flag-5'>Vivado</b>? Design Tool中用工程模式使用DFX<b class='flag-5'>流程</b>?

    深入探索Vivado非工程模式FPGA設(shè)計流程

    在設(shè)計過程的每個階段,設(shè)計者均可以打開Vivado集成開發(fā)環(huán)境,對存儲器中保存的當前設(shè)計進行分析和操作。
    發(fā)表于 04-03 09:36 ?622次閱讀
    深入探索<b class='flag-5'>Vivado</b>非工程模式FPGA設(shè)計<b class='flag-5'>流程</b>

    如何禁止vivado自動生成 bufg

    Vivado中禁止自動生成BUFG(Buffered Clock Gate)可以通過以下步驟實現(xiàn)。 首先,讓我們簡要了解一下什么是BUFG。BUFG是一個時鐘緩沖器,用于緩沖輸入時鐘信號,使其更穩(wěn)
    的頭像 發(fā)表于 01-05 14:31 ?1453次閱讀

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發(fā)表于 01-05 10:18 ?1432次閱讀

    VIVADO安裝問題解決

    vivado出現(xiàn)安裝問題剛開始還以為是安裝路徑包含中文空格了,重裝的注意了一下,發(fā)現(xiàn)還是這個問題。。。。后來又一頓操作猛如虎,終于發(fā)現(xiàn)了問題。出這個問題的原因是vivado壓縮包解壓的路徑包含中文了把解壓文件放到不含中文的地方,再重新安裝,安裝路徑也不能含中文。然后。。。
    發(fā)表于 12-22 10:56 ?0次下載

    VIVADO軟件使用問題總結(jié)

    【關(guān)鍵問題?。。?!重要?。?!】VIVADO會在MESSAGE窗口出提示很多錯誤和警告信息!
    的頭像 發(fā)表于 12-15 10:11 ?1531次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問題總結(jié)

    EDA流程的重要意義,以及國內(nèi)EDA流程進展

    的方式。如果一款工具能夠覆蓋特定芯片在上述流程中的設(shè)計任務(wù),那么我們就將其稱之為流程EDA工具,或者是流程EDA平臺。 在國產(chǎn)EDA發(fā)展
    的頭像 發(fā)表于 12-14 00:08 ?1971次閱讀

    活動預(yù)告|多領(lǐng)域,流程,華大九天多地技術(shù)研討會邀您參與

    ? 北京華大九天科技股份有限公司(簡稱“華大九天”)成立于2009年,一直聚焦于EDA工具的開發(fā)、銷售及相關(guān)服務(wù)業(yè)務(wù),致力于成為流程、領(lǐng)域、全球領(lǐng)先的EDA提供商。 華大九天主要產(chǎn)品包括模擬
    的頭像 發(fā)表于 12-13 16:05 ?349次閱讀
    活動預(yù)告|多領(lǐng)域,<b class='flag-5'>全</b><b class='flag-5'>流程</b>,華大九天多地技術(shù)研討會邀您參與

    FPGA實現(xiàn)基于Vivado的BRAM IP核的使用

    定制的RAM資源,有著較大的存儲空間,且在日常的工程中使用較為頻繁。BRAM以陣列的方式排布于FPGA的內(nèi)部,是FPGA實現(xiàn)各種存儲功能的主要部分,是真正的雙讀/寫端口的同步的RAM。 本片
    的頭像 發(fā)表于 12-05 15:05 ?1257次閱讀

    Vivado與ISE同時運行出現(xiàn)的奇怪現(xiàn)象

    近幾天調(diào)試開發(fā)板,主芯片是XC7A100T,Vivado給開發(fā)板下載bit文件,正常工作。
    的頭像 發(fā)表于 12-04 09:54 ?776次閱讀

    Vivado Design Suite 用戶指南:編程和調(diào)試

    Vivado 時序收斂、資源使用情況和功耗收斂。還涉及為系統(tǒng)集成開發(fā)硬件平臺。本文檔中適用于此設(shè)計進程的主題包括: 第 9 章:設(shè)計調(diào)試 第 10 章:系統(tǒng)內(nèi)邏輯設(shè)計調(diào)試流程 第 11 章:在硬件中調(diào)試
    的頭像 發(fā)表于 10-25 16:15 ?742次閱讀
    <b class='flag-5'>Vivado</b> Design Suite 用戶指南:編程和調(diào)試