0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何實(shí)現(xiàn)FPGA構(gòu)建環(huán)境的自動化

電子工程師 ? 來源:FPGA設(shè)計(jì)論壇 ? 作者:FPGA設(shè)計(jì)論壇 ? 2020-11-20 16:47 ? 次閱讀

創(chuàng)建 FPGA 設(shè)計(jì)和維護(hù) Vivado 設(shè)計(jì)套件項(xiàng)目時,版本控制系統(tǒng)對于團(tuán)隊(duì)合作可能是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。工程師必須能跟蹤設(shè)計(jì)變更,完整地從 HDL 或 TCL 源代碼再現(xiàn)項(xiàng)目并交付特定的項(xiàng)目狀態(tài)。Vivado 工具非常適用于這類工作,因?yàn)樵摴ぞ吣軌驗(yàn)轫?xiàng)目生成存檔文件或創(chuàng)建 TCL 文件,從而再現(xiàn)項(xiàng)目狀態(tài)。

然而,上述機(jī)制需要一定數(shù)量的手動操作,而且在 Vivado 設(shè)計(jì)套件項(xiàng)目外對設(shè)計(jì)的參數(shù)進(jìn)行設(shè)置,也存在不夠靈活的問題。因此,為了簡化上述操作,我們已經(jīng)開發(fā)出對應(yīng)腳本。其思路是在提供項(xiàng)目的 HDL 和 TCL 源文件的同時,提供從頭創(chuàng)建 Vivado 設(shè)計(jì)套件項(xiàng)目所需的其他配置文件。設(shè)計(jì)構(gòu)建腳本的目的是幫助用戶在單獨(dú)的 Vivado 設(shè)計(jì)套件項(xiàng)目下開發(fā)獨(dú)立的項(xiàng)目組成部分(特色),且能夠以其他配置方式對項(xiàng)目不同組成部分的源文件進(jìn)行組合。此外,構(gòu)建腳本也可用于創(chuàng)建目標(biāo)構(gòu)建工件,例如 IP XACTIPXACT 封裝、仿真、綜合、實(shí)現(xiàn)和比特流生成。

與此同時,MLE 也面向 PetaLinux 和賽靈思軟件開發(fā)套件 (XSDK) 發(fā)布了一套易用型 Makefiles,并將為 Vitis 統(tǒng)一軟件平臺提供后續(xù)支持。這些處理系統(tǒng) Makefiles(或簡稱為 PSMake)還提供在 GitHub 上。

為了加快實(shí)現(xiàn) FPGA 構(gòu)建環(huán)境的自動化(如用于持續(xù)集成 (CI)),并確保在開發(fā)與生命周期后期階段完整重現(xiàn)設(shè)計(jì)結(jié)果,Missing Link Electronics 團(tuán)隊(duì)已整合出一套腳本。目前,這套腳本化 FPGA 構(gòu)建環(huán)境主要面向賽靈思 Vivado 工具(版本 2016.4 或更新版本),并在 Ubuntu Linux 16.04 LTS 和 18.04 LTS 下通過測試,已通過 Apache 2.0 開源許可證提供在 GitHub 上。

責(zé)任編輯:lq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598979
  • 控制系統(tǒng)
    +關(guān)注

    關(guān)注

    41

    文章

    6420

    瀏覽量

    110105
  • 自動化
    +關(guān)注

    關(guān)注

    28

    文章

    5386

    瀏覽量

    78637

原文標(biāo)題:如何實(shí)現(xiàn) FPGA 構(gòu)建環(huán)境的自動化

文章出處:【微信號:gh_9d70b445f494,微信公眾號:FPGA設(shè)計(jì)論壇】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    TI RF Transceiver EVM自動化環(huán)境搭建方法

    電子發(fā)燒友網(wǎng)站提供《TI RF Transceiver EVM自動化環(huán)境搭建方法.pdf》資料免費(fèi)下載
    發(fā)表于 09-04 09:35 ?0次下載
    TI RF Transceiver EVM<b class='flag-5'>自動化</b><b class='flag-5'>環(huán)境</b>搭建方法

    開關(guān)電源自動化測試設(shè)備:如何實(shí)現(xiàn)自動化測試?

    開關(guān)電源自動化測試設(shè)備是將測試軟件和測試硬件集成在一個電源測試柜中的ate自動測試設(shè)備,其測試原理是通過計(jì)算機(jī)操控測試儀器,從而減少人工干預(yù),完成開關(guān)電源的自動化測試,旨在解放人力,提高測試效率,讓測試更便捷。
    的頭像 發(fā)表于 08-30 18:19 ?969次閱讀
    開關(guān)電源<b class='flag-5'>自動化</b>測試設(shè)備:如何<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>自動化</b>測試?

    機(jī)械自動化和電氣自動化區(qū)別是什么

    機(jī)械自動化和電氣自動化是現(xiàn)代工業(yè)生產(chǎn)中兩個重要的領(lǐng)域,它們在許多方面有著密切的聯(lián)系,但也存在一些明顯的區(qū)別。 一、基本概念 機(jī)械自動化 機(jī)械自動化是指利用機(jī)械設(shè)備、傳感器、控制系統(tǒng)等技
    的頭像 發(fā)表于 07-01 09:33 ?2613次閱讀

    機(jī)械自動化自動化的一種嗎

    引言 自動化技術(shù)是指利用控制裝置對生產(chǎn)過程進(jìn)行控制,以實(shí)現(xiàn)生產(chǎn)過程的自動化。機(jī)械自動化自動化技術(shù)的一種,它主要涉及到使用機(jī)械設(shè)備和控制系統(tǒng)
    的頭像 發(fā)表于 07-01 09:32 ?1116次閱讀

    如何實(shí)現(xiàn)PLC的自動化控制邏輯

    在工業(yè)自動化領(lǐng)域,PLC(Programmable Logic Controller,可編程邏輯控制器)扮演著至關(guān)重要的角色。PLC通過編程實(shí)現(xiàn)自動化控制邏輯,使設(shè)備能夠按照預(yù)定的程序進(jìn)行工作,極大
    的頭像 發(fā)表于 06-15 16:44 ?644次閱讀

    機(jī)械制造與自動化自動化類嗎

    機(jī)械制造與自動化自動化領(lǐng)域的一個重要分支,它涉及到機(jī)械設(shè)計(jì)、制造、檢測、控制等多個方面,是現(xiàn)代制造業(yè)的核心組成部分。 機(jī)械制造與自動化是指利用計(jì)算機(jī)、機(jī)器人、傳感器等自動化設(shè)備和技術(shù)
    的頭像 發(fā)表于 06-11 11:18 ?1010次閱讀

    工業(yè)自動化自動化區(qū)別是什么

    工業(yè)自動化自動化是兩個密切相關(guān)但又有所區(qū)別的概念。在這篇文章中,我們將詳細(xì)探討它們之間的區(qū)別,以及它們在現(xiàn)代工業(yè)生產(chǎn)中的應(yīng)用。 一、自動化的定義 自動化是指通過使用機(jī)器、計(jì)算機(jī)和其他
    的頭像 發(fā)表于 06-11 11:13 ?1112次閱讀

    工廠自動化控制的典型實(shí)現(xiàn)方式

    工廠自動化控制是現(xiàn)代制造業(yè)的關(guān)鍵組成部分,它通過應(yīng)用先進(jìn)的信息技術(shù)、自動化技術(shù)和控制技術(shù),實(shí)現(xiàn)生產(chǎn)過程的自動化、智能和信息
    的頭像 發(fā)表于 06-06 15:51 ?670次閱讀

    自動化構(gòu)建環(huán)境FPGA設(shè)計(jì)中的應(yīng)用

    為了加快實(shí)現(xiàn) FPGA 構(gòu)建環(huán)境自動化(如用于持續(xù)集成 (CI)),并確保在開發(fā)與生命周期后期階段完整重現(xiàn)設(shè)計(jì)結(jié)果,Missing Lin
    發(fā)表于 02-20 11:05 ?287次閱讀
    <b class='flag-5'>自動化</b><b class='flag-5'>構(gòu)建</b><b class='flag-5'>環(huán)境</b>在<b class='flag-5'>FPGA</b>設(shè)計(jì)中的應(yīng)用

    TC397怎么自動化燒錄?

    TC397第一次燒錄的時候需要先燒錄六個包,使用Infineon Memtool 4.8軟件,如果想要量產(chǎn),怎么實(shí)現(xiàn)不用手動選擇文件的燒錄方式,使用自動化燒錄
    發(fā)表于 01-25 07:03

    電源測試怎么自動化?電源模塊自動化測試系統(tǒng)如何實(shí)現(xiàn)?

    納米軟件在電測行業(yè)深耕十余年,在行業(yè)的大背景下,為了進(jìn)一步完善自動化測試,開發(fā)出了新的智能的電源模塊自動化測試系統(tǒng)ATECLOUD-POWER,實(shí)現(xiàn)
    的頭像 發(fā)表于 12-15 14:40 ?640次閱讀
    電源測試怎么<b class='flag-5'>自動化</b>?電源模塊<b class='flag-5'>自動化</b>測試系統(tǒng)如何<b class='flag-5'>實(shí)現(xiàn)</b>?

    LabVIEW與Tektronix示波器實(shí)現(xiàn)電源測試自動化

    LabVIEW與Tektronix示波器實(shí)現(xiàn)電源測試自動化 在現(xiàn)代電子測試與測量領(lǐng)域,自動化測試系統(tǒng)的構(gòu)建是提高效率和精確度的關(guān)鍵。本案例介紹了如何利用LabVIEW軟件結(jié)合Tektr
    發(fā)表于 12-09 20:37

    淺析中國工業(yè)自動化與智能應(yīng)用

    自動化驅(qū)動產(chǎn)品與智能操控系統(tǒng)主要用于工業(yè)自動化中的設(shè)備自動化領(lǐng)域,其中自動化驅(qū)動產(chǎn)品是實(shí)現(xiàn)設(shè)備單機(jī)自動化
    發(fā)表于 12-01 10:11 ?712次閱讀
    淺析中國工業(yè)<b class='flag-5'>自動化</b>與智能<b class='flag-5'>化</b>應(yīng)用

    Python 模擬鍵盤鼠標(biāo)的方式實(shí)現(xiàn)自動化

    在某些情況下,如果我們需要進(jìn)行自動化操作的應(yīng)用沒有提供相應(yīng)的接口,我們無法直接通過Python來調(diào)用API實(shí)現(xiàn)自動化。這種情況下,Python也不是完全沒有辦法的,我們可以采用模擬鍵盤和鼠標(biāo)的方式
    的頭像 發(fā)表于 11-02 14:48 ?1065次閱讀
    Python 模擬鍵盤鼠標(biāo)的方式<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>自動化</b>

    過程自動化控制和運(yùn)動自動化控制的原理詳解

    工業(yè)自動化從原理上可分為過程自動化與機(jī)械(運(yùn)動)自動化
    的頭像 發(fā)表于 09-25 10:52 ?1453次閱讀
    過程<b class='flag-5'>自動化</b>控制和運(yùn)動<b class='flag-5'>自動化</b>控制的原理詳解