0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Spectrum儀器SBench6測(cè)量軟件全面升級(jí),新增定制化、自動(dòng)化

工程師鄧生 ? 來(lái)源:粵訊 ? 作者:粵訊 ? 2020-12-03 17:30 ? 次閱讀

德國(guó)Spectrum儀器公司今日宣布全面升級(jí)SBench-6專業(yè)版軟件,以更好的服務(wù)Spectrum旗下130款高性能數(shù)字化儀、55款任意波形發(fā)生器及5款數(shù)字I/O產(chǎn)品。SBench6為儀器控制、數(shù)據(jù)采集、信號(hào)的生成、顯示、分析和存檔提供了簡(jiǎn)潔的可視化圖形界面。為了進(jìn)一步擴(kuò)大軟件的適用性,此次軟件新增的功能不僅包括自動(dòng)化功能,還提升了軟件在信號(hào)處理和測(cè)量精準(zhǔn)度方面的能力。

通過(guò)腳本輕松實(shí)現(xiàn)自動(dòng)化

目前,用戶可使用SBench6的腳本工具輕松實(shí)現(xiàn)自動(dòng)化操作。腳本工具通過(guò)SBench6編程系統(tǒng)調(diào)用ASCII文件來(lái)執(zhí)行一些基本命令。例如,使用SBench6下載特定的配置,開始或停止信號(hào)采集,等待或循環(huán)執(zhí)行命令,導(dǎo)出數(shù)據(jù)甚至調(diào)用外部程序(參見圖1)。

圖1:ASCII腳本要求SBench6每分鐘執(zhí)行10次獲取,并將生成數(shù)據(jù)直接傳輸至MATLAB

使用數(shù)據(jù)插值提升測(cè)量精準(zhǔn)度

SBench6專業(yè)版軟件提供的另一重要功能就是數(shù)據(jù)插值。在數(shù)字化儀的采樣率受限于測(cè)量精度的情況下,這個(gè)強(qiáng)大的功能可以顯著提升參數(shù)測(cè)量。基于SinX/x(亦被稱為SinX)算法,SBench6插值函數(shù)能夠通過(guò)預(yù)測(cè)的方式在實(shí)際獲取的數(shù)據(jù)點(diǎn)之間插入樣本。精準(zhǔn)操作后,這將產(chǎn)生一個(gè)更為有效的采樣率以及更為接近分析樣本的模擬波形。

當(dāng)輸入信號(hào)頻率開始接近Nyquist limit奈奎斯特極限(采樣率的一半)時(shí),插值的好處就呈現(xiàn)出來(lái)了。以圖3為例,一個(gè)125 MS/s的16位分辨率數(shù)字化儀采集到了一個(gè)振幅為1.82 V和20 MHz的正弦波。左邊黃色軌跡表示沒有插值的信號(hào),樣本點(diǎn)由直線連接。右邊藍(lán)色的軌跡表示相同的信號(hào),但使用了插值信號(hào)。

圖2顯示插值功能可以顯著提升參數(shù)測(cè)量的精準(zhǔn)度

您可以觀察和對(duì)比兩條線上頂部的正弦波。

請(qǐng)注意:20 MHz的信號(hào)仍然遠(yuǎn)低于奈奎斯特極限(62.5 MHz)。然而,沒有使用插值的黃色軌跡已經(jīng)沒有足夠的采樣率進(jìn)行信號(hào)振幅的精準(zhǔn)測(cè)量。問(wèn)題的關(guān)鍵是在125ms/s的情況下,每個(gè)正弦波周期包含的采樣點(diǎn)大約有6個(gè)。使用插值功能后,有效地提升了采樣率。在這個(gè)例子中,內(nèi)插因子數(shù)為10,每個(gè)周期的樣本數(shù)為60。這兩個(gè)軌跡的峰間振幅(左下角)參數(shù)測(cè)量顯示,振幅的精準(zhǔn)度得到了顯著的提升。

只要輸入信號(hào)的頻率不超過(guò)奈奎斯特極限,插值還可以用于提升其他參數(shù)的測(cè)量(如上升和下降次數(shù),,以及頻率和周期的循環(huán)測(cè)量)。

自定義計(jì)算

SBench6專業(yè)版提供了全面的數(shù)據(jù)處理工具(FFT分析、數(shù)學(xué)函數(shù)、篩選、平均和參數(shù)測(cè)量等等),用戶可以通過(guò)一個(gè)新的插件選項(xiàng)自定義需要計(jì)算的內(nèi)容,并自動(dòng)同步到SBench6的計(jì)算池。該插件選項(xiàng)包括一個(gè)SDK和一系列基于C++編程語(yǔ)言的示例。只要符合標(biāo)準(zhǔn)的開發(fā)語(yǔ)言,研發(fā)人員就可結(jié)合用于信號(hào)獲取的高級(jí)算法來(lái)創(chuàng)造其獨(dú)特的插件。此外,系統(tǒng)中的所有文件(如濾波器參數(shù)、傳感器標(biāo)定值或參考信號(hào))都可被用于計(jì)算。此外,SDK還提供了與用戶交互的回調(diào)功能。這樣,諸如警告或嚴(yán)重警告等消息就可以通過(guò)對(duì)話框快速呈現(xiàn)給用戶。

圖3:使用自定義數(shù)據(jù)存儲(chǔ)進(jìn)行信號(hào)反演的插件示例

用戶可通過(guò)插件選項(xiàng)直接將自己的處理功能集成至SBench6程序。生成的信號(hào)能夠顯示,并被用于下一步的計(jì)算、導(dǎo)出或作為報(bào)告的一部分。例如,將數(shù)字化儀與傳感器一起使用時(shí),插件能夠?qū)鞲衅餍?zhǔn)信息嵌入程序中。圖2顯示了一個(gè)典型的插件示例,對(duì)信號(hào)進(jìn)行倒置和存儲(chǔ)。但請(qǐng)注意,研發(fā)者可使用整數(shù)、雙值、組合框、字符串或復(fù)選按鈕定義計(jì)算配置。

自定義計(jì)算例程的編程使SBench6成為了一個(gè)強(qiáng)大的應(yīng)用程序?qū)S霉ぞ?。一旦開發(fā)了例程,生成的插件文件就可以通過(guò)SBench6專業(yè)版授權(quán)自由分發(fā)給終端用戶。

單值計(jì)算

為了增強(qiáng)SBench6的測(cè)量功能,現(xiàn)在新的公式函數(shù)也可以計(jì)算單值。這些值可以從游標(biāo)信息、任何源信號(hào)甚至是其它計(jì)算結(jié)果中得到。

為了提升處理的靈活性,使用函數(shù)發(fā)生器創(chuàng)建新波形時(shí)甚至可以訪問(wèn)“單值”并將其并入公式中。因此,這個(gè)波形創(chuàng)建工具能夠讓用戶記錄或加載信號(hào),以及包括數(shù)學(xué)運(yùn)算和單值的列表。

使用輸入通道預(yù)覽檢測(cè)范圍外的信號(hào)

為了方便快捷地設(shè)置數(shù)字化儀的輸入范圍,SBench 6專業(yè)版增加了一個(gè)輸入通道預(yù)覽窗口。該窗口持續(xù)監(jiān)測(cè)低采樣率下的輸入信號(hào),通過(guò)柱狀圖顯示關(guān)鍵型號(hào)的特征。輸入通道預(yù)覽窗口能夠顯示每個(gè)運(yùn)行的通道中當(dāng)前信號(hào)的最小值、最大值和平均值。彩色編碼(綠色、紅色和黃色)會(huì)顯示信號(hào)在范圍內(nèi)或范圍外,或者當(dāng)輸入范圍的狀態(tài)以改變。用戶會(huì)立刻收到每個(gè)頻道發(fā)出的預(yù)警,當(dāng)更改增益或偏移設(shè)置時(shí)能夠即刻呈現(xiàn)。

SB ench6 專業(yè)版用戶可免費(fèi)使用新增功能

除了用于自定義計(jì)算的插件工具外,已經(jīng)在使用SBench6專業(yè)版的客戶可以免費(fèi)使用新增功能(腳本、單值公式、輸入頻道預(yù)覽和插值)。新增功能連同基于FFT的功率頻譜密度測(cè)量等其它新增功能,可以通過(guò)Spectrum儀器官網(wǎng)下載更新。此外,該軟件還為用戶提供了基于模擬硬件運(yùn)行的免費(fèi)試用版,使開發(fā)人員全面了解其運(yùn)作。為了更直觀的展示新增功能的用法,Spectrum儀器官網(wǎng)還為開發(fā)人員提供了一系列的教學(xué)視頻,使其快速了解SBench6的基本功能。

關(guān)于Spectrum儀器

Spectrum儀器成立于1989年,迄今為止設(shè)計(jì)和研發(fā)了多款模塊化數(shù)字化儀及發(fā)生器產(chǎn)品,其中包括PC卡(PCIe和PXIe)以及獨(dú)立以太網(wǎng)設(shè)備(LXI)。在過(guò)去30年間,Spectrum獲得了業(yè)界的廣泛好評(píng),旗下產(chǎn)品也被用于行業(yè)領(lǐng)軍企業(yè)及一流大學(xué)的眾多產(chǎn)品與科研項(xiàng)目。公司總部位于德國(guó)漢堡附近的漢斯多爾夫,憑借卓越的技術(shù)能力與迅速的客戶響應(yīng)速度享譽(yù)全球。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 自動(dòng)化
    +關(guān)注

    關(guān)注

    28

    文章

    5386

    瀏覽量

    78639
  • spectrum
    +關(guān)注

    關(guān)注

    0

    文章

    38

    瀏覽量

    13752
  • 測(cè)量軟件
    +關(guān)注

    關(guān)注

    0

    文章

    6

    瀏覽量

    2053
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    開關(guān)電源自動(dòng)化測(cè)試設(shè)備:如何實(shí)現(xiàn)自動(dòng)化測(cè)試?

    開關(guān)電源自動(dòng)化測(cè)試設(shè)備是將測(cè)試軟件和測(cè)試硬件集成在一個(gè)電源測(cè)試柜中的ate自動(dòng)測(cè)試設(shè)備,其測(cè)試原理是通過(guò)計(jì)算機(jī)操控測(cè)試儀器,從而減少人工干預(yù),完成開關(guān)電源的
    的頭像 發(fā)表于 08-30 18:19 ?971次閱讀
    開關(guān)電源<b class='flag-5'>自動(dòng)化</b>測(cè)試設(shè)備:如何實(shí)現(xiàn)<b class='flag-5'>自動(dòng)化</b>測(cè)試?

    復(fù)雜工件的高效測(cè)量方案:自動(dòng)化三坐標(biāo)測(cè)量與影像測(cè)量技術(shù)集成

    在現(xiàn)代制造業(yè)的快速發(fā)展中,復(fù)雜工件的精密測(cè)量成為了質(zhì)量控制的關(guān)鍵。隨著工業(yè)4.0的推進(jìn),自動(dòng)化技術(shù)與精密測(cè)量設(shè)備的結(jié)合,為生產(chǎn)效率和產(chǎn)品質(zhì)量的提升提供了新的解決方案。全自動(dòng)化三坐標(biāo)
    的頭像 發(fā)表于 08-30 16:49 ?374次閱讀
    復(fù)雜工件的高效<b class='flag-5'>測(cè)量</b>方案:<b class='flag-5'>自動(dòng)化</b>三坐標(biāo)<b class='flag-5'>測(cè)量</b>與影像<b class='flag-5'>測(cè)量</b>技術(shù)集成

    機(jī)械自動(dòng)化和電氣自動(dòng)化區(qū)別是什么

    機(jī)械自動(dòng)化和電氣自動(dòng)化是現(xiàn)代工業(yè)生產(chǎn)中兩個(gè)重要的領(lǐng)域,它們?cè)谠S多方面有著密切的聯(lián)系,但也存在一些明顯的區(qū)別。 一、基本概念 機(jī)械自動(dòng)化 機(jī)械自動(dòng)化是指利用機(jī)械設(shè)備、傳感器、控制系統(tǒng)等技
    的頭像 發(fā)表于 07-01 09:33 ?2621次閱讀

    機(jī)械自動(dòng)化自動(dòng)化的一種嗎

    引言 自動(dòng)化技術(shù)是指利用控制裝置對(duì)生產(chǎn)過(guò)程進(jìn)行控制,以實(shí)現(xiàn)生產(chǎn)過(guò)程的自動(dòng)化。機(jī)械自動(dòng)化自動(dòng)化技術(shù)的一種,它主要涉及到使用機(jī)械設(shè)備和控制系統(tǒng)來(lái)實(shí)現(xiàn)生產(chǎn)過(guò)程的
    的頭像 發(fā)表于 07-01 09:32 ?1116次閱讀

    機(jī)械制造與自動(dòng)化自動(dòng)化類嗎

    機(jī)械制造與自動(dòng)化自動(dòng)化領(lǐng)域的一個(gè)重要分支,它涉及到機(jī)械設(shè)計(jì)、制造、檢測(cè)、控制等多個(gè)方面,是現(xiàn)代制造業(yè)的核心組成部分。 機(jī)械制造與自動(dòng)化是指利用計(jì)算機(jī)、機(jī)器人、傳感器等自動(dòng)化設(shè)備和技術(shù)
    的頭像 發(fā)表于 06-11 11:18 ?1012次閱讀

    工業(yè)自動(dòng)化自動(dòng)化區(qū)別是什么

    工業(yè)自動(dòng)化自動(dòng)化是兩個(gè)密切相關(guān)但又有所區(qū)別的概念。在這篇文章中,我們將詳細(xì)探討它們之間的區(qū)別,以及它們?cè)诂F(xiàn)代工業(yè)生產(chǎn)中的應(yīng)用。 一、自動(dòng)化的定義 自動(dòng)化是指通過(guò)使用機(jī)器、計(jì)算機(jī)和其他
    的頭像 發(fā)表于 06-11 11:13 ?1112次閱讀

    IPv6 在電力自動(dòng)化系統(tǒng)中的應(yīng)用

    從網(wǎng)絡(luò)層IP協(xié)議全局性發(fā)展的角度分析,實(shí)現(xiàn)IPv4技術(shù)向IPv6技術(shù)的轉(zhuǎn)型是順應(yīng)電力自動(dòng)化系統(tǒng)轉(zhuǎn)型升級(jí)趨勢(shì)的選擇,能為自動(dòng)化系統(tǒng)應(yīng)用效果的優(yōu)化提供保障。在電力
    的頭像 發(fā)表于 04-24 11:18 ?260次閱讀
    IPv<b class='flag-5'>6</b> 在電力<b class='flag-5'>自動(dòng)化</b>系統(tǒng)中的應(yīng)用

    如何使用SBench 6對(duì)數(shù)字儀采集信號(hào)進(jìn)行處理?(三)——快速傅立葉變換(FFT)

    上一篇文章介紹了德思特SBench 6的平均運(yùn)算功能。本章將繼續(xù)為大家介紹SBench 6的快速傅立葉變換(FFT)。
    的頭像 發(fā)表于 01-23 10:38 ?438次閱讀
    如何使用<b class='flag-5'>SBench</b> <b class='flag-5'>6</b>對(duì)數(shù)字<b class='flag-5'>化</b>儀采集信號(hào)進(jìn)行處理?(三)——快速傅立葉變換(FFT)

    如何使用SBench6軟件對(duì)數(shù)字儀采集信號(hào)進(jìn)行處理?(二)——平均運(yùn)算功能

    上一篇文章介紹了了德思特SBench 6為板卡式數(shù)字儀提供的波形算術(shù)運(yùn)算及直方圖功能。本章將繼續(xù)為大家SBench 6的平均運(yùn)算功能。
    的頭像 發(fā)表于 01-15 14:05 ?323次閱讀
    如何使用<b class='flag-5'>SBench6</b><b class='flag-5'>軟件</b>對(duì)數(shù)字<b class='flag-5'>化</b>儀采集信號(hào)進(jìn)行處理?(二)——平均運(yùn)算功能

    電源測(cè)試怎么自動(dòng)化?電源模塊自動(dòng)化測(cè)試系統(tǒng)如何實(shí)現(xiàn)?

    納米軟件在電測(cè)行業(yè)深耕十余年,在行業(yè)的大背景下,為了進(jìn)一步完善自動(dòng)化測(cè)試,開發(fā)出了新的智能的電源模塊自動(dòng)化測(cè)試系統(tǒng)ATECLOUD-POWER,實(shí)現(xiàn)
    的頭像 發(fā)表于 12-15 14:40 ?640次閱讀
    電源測(cè)試怎么<b class='flag-5'>自動(dòng)化</b>?電源模塊<b class='flag-5'>自動(dòng)化</b>測(cè)試系統(tǒng)如何實(shí)現(xiàn)?

    LabVIEW與Tektronix示波器實(shí)現(xiàn)電源測(cè)試自動(dòng)化

    LabVIEW與Tektronix示波器實(shí)現(xiàn)電源測(cè)試自動(dòng)化 在現(xiàn)代電子測(cè)試與測(cè)量領(lǐng)域,自動(dòng)化測(cè)試系統(tǒng)的構(gòu)建是提高效率和精確度的關(guān)鍵。本案例介紹了如何利用LabVIEW軟件結(jié)合Tektr
    發(fā)表于 12-09 20:37

    淺析中國(guó)工業(yè)自動(dòng)化與智能應(yīng)用

    自動(dòng)化驅(qū)動(dòng)產(chǎn)品與智能操控系統(tǒng)主要用于工業(yè)自動(dòng)化中的設(shè)備自動(dòng)化領(lǐng)域,其中自動(dòng)化驅(qū)動(dòng)產(chǎn)品是實(shí)現(xiàn)設(shè)備單機(jī)自動(dòng)化的核心部件;智能操控系統(tǒng)是在設(shè)備單機(jī)
    發(fā)表于 12-01 10:11 ?712次閱讀
    淺析中國(guó)工業(yè)<b class='flag-5'>自動(dòng)化</b>與智能<b class='flag-5'>化</b>應(yīng)用

    Spectrum儀器旗下數(shù)字儀和任意波形發(fā)生器新增數(shù)字脈沖發(fā)生器功能

    (速度高達(dá)10GS/s)和任意波形發(fā)生器(AWG)產(chǎn)品。該功能適用于Spectrum儀器的整個(gè)產(chǎn)品線,低成本選項(xiàng)更是為產(chǎn)生脈沖和脈沖流增加了3至4個(gè)獨(dú)立的數(shù)字源,這使其成為各種自動(dòng)化測(cè)試及測(cè)量
    的頭像 發(fā)表于 11-15 14:40 ?372次閱讀
    <b class='flag-5'>Spectrum</b><b class='flag-5'>儀器</b>旗下數(shù)字<b class='flag-5'>化</b>儀和任意波形發(fā)生器<b class='flag-5'>新增</b>數(shù)字脈沖發(fā)生器功能

    LXI總線在自動(dòng)化測(cè)量中的應(yīng)用

    電子發(fā)燒友網(wǎng)站提供《LXI總線在自動(dòng)化測(cè)量中的應(yīng)用.pdf》資料免費(fèi)下載
    發(fā)表于 10-26 09:44 ?0次下載
    LXI總線在<b class='flag-5'>自動(dòng)化</b><b class='flag-5'>測(cè)量</b>中的應(yīng)用

    基于軟件性質(zhì)的自動(dòng)化測(cè)試技術(shù)

    軟件開發(fā)的生命周期中,測(cè)試是至關(guān)重要的一環(huán)。為了確保軟件產(chǎn)品的質(zhì)量,開發(fā)團(tuán)隊(duì)需要進(jìn)行全面的測(cè)試,以發(fā)現(xiàn)和修復(fù)軟件中潛在的缺陷和問(wèn)題。傳統(tǒng)的人工測(cè)試雖然有效,但卻耗時(shí)耗力,且成本較高。
    的頭像 發(fā)表于 10-09 16:29 ?458次閱讀
    基于<b class='flag-5'>軟件</b>性質(zhì)的<b class='flag-5'>自動(dòng)化</b>測(cè)試技術(shù)