0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

我國(guó)FPGA企業(yè)該如何抓住新基建帶來(lái)的發(fā)展機(jī)遇?

電子工程師 ? 來(lái)源:中國(guó)電子報(bào) ? 作者:張心怡 ? 2020-12-28 09:20 ? 次閱讀

新基建是數(shù)字技術(shù)的基礎(chǔ)設(shè)施,具有發(fā)展速度快、技術(shù)含量高等特點(diǎn),隨著新技術(shù)新應(yīng)用層出不窮,其對(duì)計(jì)算、架構(gòu)、協(xié)議、接口的動(dòng)態(tài)更新提出了新的需求,因此,對(duì)底層芯片提出了新的考驗(yàn)。FPGA具有軟硬件編程、接口靈活、高性能等優(yōu)勢(shì),能夠滿(mǎn)足高新技術(shù)對(duì)于計(jì)算和連接的需求。那么,新基建將為FPGA帶來(lái)哪些市場(chǎng)增量?又將提出怎樣的技術(shù)挑戰(zhàn)?我國(guó)FPGA企業(yè)該如何抓住新基建帶來(lái)的發(fā)展機(jī)遇?

新基建將大幅拉動(dòng)FPGA新需求

新基建是以技術(shù)創(chuàng)新和信息網(wǎng)絡(luò)為基礎(chǔ),來(lái)推動(dòng)基礎(chǔ)設(shè)施體系的數(shù)字轉(zhuǎn)型、智能升級(jí)以及融合創(chuàng)新等。在原型設(shè)計(jì)、協(xié)議升級(jí)、數(shù)據(jù)處理、降低功耗等方面,F(xiàn)PGA都將發(fā)揮不可替代的優(yōu)勢(shì)。

“在新基建巨大的投資拉動(dòng)效應(yīng)下,F(xiàn)PGA用量勢(shì)必會(huì)迎來(lái)大幅度提升。在技術(shù)創(chuàng)新方面,靈活可編程的FPGA被公認(rèn)為原型設(shè)計(jì)和新產(chǎn)品開(kāi)發(fā)的關(guān)鍵器件,非常契合5G人工智能、數(shù)據(jù)中心工業(yè)互聯(lián)網(wǎng)等新興應(yīng)用場(chǎng)景。而特高壓、城際高速鐵路和城市軌道交通、新能源汽車(chē)等場(chǎng)景,普遍面臨著連接和數(shù)字化轉(zhuǎn)型挑戰(zhàn),對(duì)于運(yùn)算效率、數(shù)據(jù)安全性、時(shí)延等性能要求更高。FPGA憑借強(qiáng)大的并行計(jì)算能力,能夠滿(mǎn)足多樣化及邊緣化數(shù)據(jù)處理的需求。”紫光同創(chuàng)市場(chǎng)總監(jiān)呂喆向《中國(guó)電子報(bào)》記者指出。

工信部最新統(tǒng)計(jì)顯示,我國(guó)5G基站以每周新增1萬(wàn)多個(gè)的速度在增長(zhǎng),預(yù)計(jì)今年年底,我國(guó)5G基站的建設(shè)將超過(guò)60萬(wàn)個(gè)。而通信行業(yè)正是國(guó)產(chǎn)FPGA最主要的應(yīng)用場(chǎng)景,占比高達(dá)35%。5G基站的激增,將帶動(dòng)FPGA用量的快速提升。

呂喆表示,5G基站主要從三個(gè)方面為FPGA帶來(lái)市場(chǎng)增量。一是5G商用初期,各設(shè)備廠家為了搶占產(chǎn)品和技術(shù)的制高點(diǎn),在標(biāo)準(zhǔn)還未凍結(jié)之前就推出原型樣機(jī)或小批量生產(chǎn),這只有通過(guò)可靈活編程的FPGA才能實(shí)現(xiàn)。二是在5G網(wǎng)絡(luò)的很多應(yīng)用場(chǎng)景中,F(xiàn)PGA是不可替代的。比如,5G通信的MIMO天線陣列和波束成形技術(shù)的出現(xiàn),需要大量信號(hào)并行處理,F(xiàn)PGA是解決這類(lèi)需求的最理想的解決方案。三是5G時(shí)代在宏基站的基礎(chǔ)上,也可能會(huì)出現(xiàn)更多形態(tài)的微基站,推動(dòng)形成了FPGA的增量市場(chǎng)。

工業(yè)互聯(lián)網(wǎng)相關(guān)場(chǎng)景對(duì)FPGA的需求也在日益提升。據(jù)賽迪顧問(wèn)預(yù)測(cè),2022年我國(guó)工業(yè)互聯(lián)網(wǎng)市場(chǎng)規(guī)模將達(dá)到9146.5億美元。FPGA的高吞吐和靈活性,將在工業(yè)通信協(xié)議、傳感器融合、工業(yè)云計(jì)算加速等領(lǐng)域發(fā)揮作用。

“未來(lái)的工業(yè)互聯(lián)網(wǎng)將把當(dāng)前工業(yè)網(wǎng)絡(luò)中存在的數(shù)百種不同協(xié)議統(tǒng)一起來(lái),實(shí)現(xiàn)互聯(lián)互通,在工業(yè)互聯(lián)網(wǎng)的靈活性和硬實(shí)時(shí)性要求的逐漸演進(jìn)過(guò)程中,非常適合用FPGA來(lái)做核心控制器件,以實(shí)現(xiàn)控制通信協(xié)議轉(zhuǎn)換、接口控制、傳感器數(shù)據(jù)融合?!卑猜?a target="_blank">科技副總裁陳利光向《中國(guó)電子報(bào)》表示。

數(shù)據(jù)中心、人工智能等高性能計(jì)算領(lǐng)域,也是FPGA的重要市場(chǎng)。目前,全球數(shù)據(jù)中心對(duì)加速器的市場(chǎng)需求超過(guò)百億美元。市場(chǎng)調(diào)研公司Semico Research預(yù)測(cè),人工智能應(yīng)用中FPGA的市場(chǎng)規(guī)模將在2019—2023年增長(zhǎng)3倍,達(dá)到52億美元。

“人工智能、云計(jì)算、區(qū)塊鏈、數(shù)據(jù)中心、智能計(jì)算中心等主要解決的是計(jì)算問(wèn)題,F(xiàn)PGA在數(shù)據(jù)中心加速、人工智能加速、區(qū)塊鏈處理等領(lǐng)域應(yīng)用越來(lái)越多,F(xiàn)PGA集成多核處理器AI計(jì)算單元、NOC(片上網(wǎng)絡(luò))等計(jì)算加速部件,未來(lái)會(huì)形成非常有競(jìng)爭(zhēng)力的異構(gòu)計(jì)算平臺(tái),成為通用計(jì)算領(lǐng)域的重要組成?!标惱庹f(shuō)。

在新能源汽車(chē)、自動(dòng)駕駛等車(chē)用領(lǐng)域,采用FPGA的異構(gòu)計(jì)算平臺(tái)將提升自動(dòng)駕駛平臺(tái)的靈活性和擴(kuò)展性,實(shí)現(xiàn)從邊緣傳感器到域控制器的可擴(kuò)展性,并提供動(dòng)態(tài)重編程能力,降低系統(tǒng)成本與損耗。目前FPGA龍頭賽靈思大約有7000萬(wàn)顆汽車(chē)芯片用于ADAS中。

“汽車(chē)行業(yè)正在面臨著一些革命性的演進(jìn),最受關(guān)注的演進(jìn)就是智能駕駛從ADAS在逐漸向全面自動(dòng)駕駛持續(xù)演進(jìn)。傳感器數(shù)量的增多勢(shì)必會(huì)帶來(lái)爆炸式的數(shù)據(jù)增長(zhǎng),這就需要汽車(chē)具備強(qiáng)大的異構(gòu)計(jì)算平臺(tái),能夠處理不同來(lái)源的數(shù)據(jù),將多傳感器同步和融合所帶來(lái)的系統(tǒng)整體響應(yīng)時(shí)間大大縮短?!?賽靈思大中華區(qū)核心市場(chǎng)業(yè)務(wù)發(fā)展總監(jiān)酆毅向《中國(guó)電子報(bào)》記者指出。

新基建對(duì)FPGA性能提出新挑戰(zhàn)

在新基建的背景下,信息化升級(jí)將會(huì)催生越來(lái)越多的應(yīng)用場(chǎng)景,這對(duì)于FPGA的性能指標(biāo)和架構(gòu)創(chuàng)新都提出了挑戰(zhàn)。對(duì)更大規(guī)模、更高性能純FPGA以及多核異構(gòu)智能化平臺(tái)提出要求。

“5G通信和數(shù)據(jù)中心加速等應(yīng)用,對(duì)高端FPGA的性能指標(biāo)提出了更高的要求,而人工智能、工業(yè)互聯(lián)網(wǎng)等應(yīng)用,則為多核異構(gòu)FPGA系統(tǒng)在邊緣計(jì)算場(chǎng)景下提供了大量的應(yīng)用機(jī)會(huì)?!眳螁凑f(shuō)。

陳利光表示,當(dāng)前FPGA技術(shù)主要向7nm以下最先進(jìn)工藝、更大的處理能力、更高的接口帶寬方向發(fā)展。面向新基建連接方面的需求,針對(duì)工業(yè)互聯(lián)網(wǎng)的SoC FPGA,以及協(xié)同邏輯陣列、處理器、DSP、專(zhuān)用加速器等多種計(jì)算資源的異構(gòu)計(jì)算軟件編譯平臺(tái),是未來(lái)的發(fā)展方向。

京微齊力創(chuàng)始人兼CEO王海力向《中國(guó)電子報(bào)》記者表示,要快速滿(mǎn)足新應(yīng)用環(huán)境下提出的各類(lèi)需求,F(xiàn)PGA需要采用異構(gòu)架構(gòu),同時(shí)兼顧到能耗、性能和成本的要求。

“FPGA已經(jīng)由單一可編程芯片技術(shù)方案向多核異構(gòu)處理平臺(tái)型技術(shù)方案過(guò)渡,實(shí)現(xiàn)‘軟件定義硬件,硬件適配生態(tài)’的新局面?!蓖鹾Aφf(shuō)。

目前FPGA兩大龍頭企業(yè)賽靈思和英特爾都推出了基于FPGA的多核異構(gòu)智能產(chǎn)品。賽靈思推出的ACAP, 在傳統(tǒng)FPGA片上互聯(lián)技術(shù)的基礎(chǔ)上,采用了NoC實(shí)現(xiàn)CPU、FPGA和AI引擎之間的互聯(lián),速度較傳統(tǒng)FPGA有著20倍的提升。英特爾的Agilex SoC FPGA,基于10nm工藝,集成了四核Arm Cortex-A53處理器,可將數(shù)據(jù)中心、網(wǎng)絡(luò)和邊緣計(jì)算應(yīng)用的功耗降低40%。

酆毅表示,單獨(dú)的計(jì)算體系結(jié)構(gòu),無(wú)法滿(mǎn)足越來(lái)越多樣的開(kāi)發(fā)需求,伴隨工藝的進(jìn)步,F(xiàn)PGA也打破了傳統(tǒng)的應(yīng)用邊界,除以往的航天、通信、消費(fèi)電子、工控等應(yīng)用領(lǐng)域,還進(jìn)入到AI、數(shù)據(jù)中心、視頻處理、自動(dòng)駕駛、5G等新興領(lǐng)域,而FPGA也通過(guò)集成標(biāo)量處理引擎、自適應(yīng)硬件引擎和智能引擎,從器件向異構(gòu)平臺(tái)轉(zhuǎn)變。

“FPGA相關(guān)企業(yè)的研發(fā)方向需要向異構(gòu)計(jì)算轉(zhuǎn)型。在人才儲(chǔ)備中,F(xiàn)PGA企業(yè)將吸收來(lái)自AI、自動(dòng)駕駛、智慧城市等來(lái)自新基建行業(yè)的人才。產(chǎn)品策略方面,企業(yè)應(yīng)該更偏向于應(yīng)用層面的開(kāi)發(fā),更貼近客戶(hù)需求,更多采用行業(yè)通用的開(kāi)發(fā)模式,通過(guò)更友好的開(kāi)發(fā)環(huán)境,滿(mǎn)足更多的產(chǎn)品開(kāi)發(fā)需求?!?酆毅說(shuō)。

我國(guó)FPGA產(chǎn)業(yè)進(jìn)入快速發(fā)展通道

目前,我國(guó)FPGA產(chǎn)業(yè)鏈在設(shè)計(jì)、制造、封測(cè)環(huán)節(jié)均有所布局,在中低端市場(chǎng)形成了一定的生產(chǎn)和供應(yīng)能力。但是,我國(guó)FPGA產(chǎn)品主要集中在中小規(guī)模器件,在“新基建”多個(gè)領(lǐng)域所需的高端產(chǎn)品供應(yīng)方面,仍與國(guó)際龍頭存在差距,需要在性能指標(biāo)和量產(chǎn)能力上取得進(jìn)一步的突破。

王海力向《中國(guó)電子報(bào)》記者表示,我國(guó)FPGA產(chǎn)業(yè)基礎(chǔ)相對(duì)薄弱,特別在高端FPGA核心架構(gòu)層面,芯片設(shè)計(jì)與量產(chǎn)能力、軟件EDA工具、應(yīng)用開(kāi)發(fā)等,與國(guó)外廠商有較大的差距。但從近幾年產(chǎn)業(yè)發(fā)展的態(tài)勢(shì)來(lái)看,我國(guó)FPGA已經(jīng)進(jìn)入到一個(gè)快速發(fā)展的軌道。即使在同規(guī)格的FPGA產(chǎn)品指標(biāo)對(duì)比上,國(guó)內(nèi)FPGA公司的一些產(chǎn)品還做到了領(lǐng)先。

“我國(guó)FPGA在工業(yè)互聯(lián)網(wǎng)、物聯(lián)網(wǎng)等領(lǐng)域的研發(fā)、生產(chǎn)與供應(yīng)有一定的基礎(chǔ)和保障,其產(chǎn)品也比較容易進(jìn)行適配。但是5G、人工智能、數(shù)據(jù)中心及汽車(chē)電子對(duì)FPGA的技術(shù)要求更為高端嚴(yán)苛,國(guó)產(chǎn)FPGA在供應(yīng)能力上仍有差距。隨著國(guó)內(nèi)FPGA廠商近幾年對(duì)中高端FPGA產(chǎn)品的持續(xù)研發(fā),這種局面在未來(lái)兩三年會(huì)得到一定改善。應(yīng)該利用好新基建給不同應(yīng)用領(lǐng)域帶來(lái)的發(fā)展契機(jī),以及在技術(shù)上提出的新需求,夯實(shí)FPGA在新基建不同行業(yè)的植入基礎(chǔ)?!蓖鹾Aφf(shuō)。

面對(duì)新基建的市場(chǎng)增量和技術(shù)要求,我國(guó)企業(yè)該如何抓住機(jī)遇,實(shí)現(xiàn)能力提升與市場(chǎng)拓展?

呂喆表示,對(duì)于國(guó)內(nèi)FPGA產(chǎn)業(yè)鏈而言,首要的問(wèn)題仍是高端器件的突破,以此為基礎(chǔ)才能進(jìn)一步拓展應(yīng)用領(lǐng)域,服務(wù)于新基建帶來(lái)的數(shù)字化、智能化升級(jí)。要進(jìn)一步提升國(guó)內(nèi)FPGA產(chǎn)業(yè)鏈水平,一方面要推動(dòng)產(chǎn)業(yè)鏈上下游更緊密的合作,加強(qiáng)上游供應(yīng)鏈中流片廠、測(cè)試和封裝企業(yè)對(duì)FPGA設(shè)計(jì)公司的重點(diǎn)支持,與FPGA企業(yè)共同完成系統(tǒng)驗(yàn)證、量產(chǎn)催熟和產(chǎn)品方案迭代,實(shí)現(xiàn)產(chǎn)業(yè)化;另一方面,要打通和搭建學(xué)術(shù)界和產(chǎn)業(yè)界的交流通道和平臺(tái),建立創(chuàng)新中心,加速產(chǎn)學(xué)研良性循環(huán),為FPGA未來(lái)技術(shù)體系創(chuàng)新提供理論和學(xué)術(shù)支撐;同時(shí),要出臺(tái)相應(yīng)政策吸引并留住高層次FPGA人才,建立產(chǎn)業(yè)聯(lián)盟,營(yíng)造和培養(yǎng)FPGA的硬件、軟件和IP生態(tài)。

賽迪顧問(wèn)高級(jí)分析師李秧向記者指出,F(xiàn)PGA技術(shù)門(mén)檻很高,國(guó)內(nèi)FPGA廠商要加大研發(fā)、人才投入,完善產(chǎn)品線,滿(mǎn)足中低端 FPGA的市場(chǎng)需要,又要致力于高性能、高品質(zhì)的FPGA的研發(fā),補(bǔ)齊從EDA到高端制造、高端封測(cè)的產(chǎn)業(yè)鏈條,形成品牌效應(yīng)及穩(wěn)定的客戶(hù)關(guān)系,真正提升品牌競(jìng)爭(zhēng)力。國(guó)內(nèi) FPGA廠商要形成產(chǎn)業(yè)集聚和產(chǎn)業(yè)生態(tài),從而降低成本,為下游客戶(hù)提供更好的產(chǎn)品服務(wù)。

王海力表示,國(guó)內(nèi)FPGA企業(yè)應(yīng)該繼續(xù)腳踏實(shí)地做好基礎(chǔ)研發(fā),包括核心架構(gòu)設(shè)計(jì)、EDA軟件工具的開(kāi)發(fā),完善面向應(yīng)用實(shí)現(xiàn)的知識(shí)產(chǎn)權(quán)庫(kù)IP,逐步從低端FPGA產(chǎn)品向高端FPGA產(chǎn)品過(guò)渡。在深入了解客戶(hù)需求的情況下,在每一個(gè)工藝節(jié)點(diǎn)上建立好高品質(zhì)交付的FPGA產(chǎn)品線。在培養(yǎng)關(guān)鍵技術(shù)研發(fā)人才的同時(shí),也要大量培養(yǎng)圍繞FPGA應(yīng)用開(kāi)發(fā)生態(tài)的人才隊(duì)伍,建立好產(chǎn)學(xué)研用緊密結(jié)合的人才梯隊(duì)。

原文標(biāo)題:FPGA,新基建的“芯”推力

文章出處:【微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598977
  • 5G
    5G
    +關(guān)注

    關(guān)注

    1351

    文章

    48177

    瀏覽量

    560899
  • 新基建
    +關(guān)注

    關(guān)注

    4

    文章

    811

    瀏覽量

    23261

原文標(biāo)題:FPGA,新基建的“芯”推力

文章出處:【微信號(hào):HXSLH1010101010,微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    加速企業(yè)上云管理黑科技,華為云 Flexus X 實(shí)例首次亮相 828 企業(yè)節(jié)

    推動(dòng)我國(guó)云計(jì)算創(chuàng)新發(fā)展,是提升我國(guó)信息化發(fā)展水平,打造數(shù)字經(jīng)濟(jì)新動(dòng)能的重要支撐。數(shù)據(jù)顯示,近年來(lái),我國(guó)云計(jì)算是全球增速最快的市場(chǎng)之一。對(duì)企業(yè)
    的頭像 發(fā)表于 09-09 22:16 ?184次閱讀
    加速<b class='flag-5'>企業(yè)</b>上云管理黑科技,華為云 Flexus X 實(shí)例首次亮相 828 <b class='flag-5'>企業(yè)</b>節(jié)

    RISC-V在中國(guó)的發(fā)展機(jī)遇有哪些場(chǎng)景?

    企業(yè),從IP、芯片到開(kāi)發(fā)板、工具鏈等各個(gè)環(huán)節(jié)都在積極布局RISC-V生態(tài)。這將有助于RISC-V在中國(guó)市場(chǎng)的快速發(fā)展和普及。 綜上所述,RISC-V在中國(guó)的發(fā)展機(jī)遇廣泛存在于物聯(lián)網(wǎng)、
    發(fā)表于 07-29 17:14

    國(guó)產(chǎn)FPGA發(fā)展前景是什么?

    國(guó)產(chǎn)FPGA發(fā)展前景是積極且充滿(mǎn)機(jī)遇的,主要體現(xiàn)在以下幾個(gè)方面: 一、市場(chǎng)需求增長(zhǎng) 技術(shù)驅(qū)動(dòng):隨著5G、物聯(lián)網(wǎng)、人工智能、大數(shù)據(jù)等技術(shù)的快速發(fā)展,對(duì)
    發(fā)表于 07-29 17:04

    【HZHY-AI300G智能盒試用連載體驗(yàn)】基建智慧工地物聯(lián)邊緣代理技術(shù)研究及應(yīng)用

    基建施工現(xiàn)場(chǎng)大量的感知層設(shè)備需要一種區(qū)域集中式、全網(wǎng)分布式的網(wǎng)絡(luò)交互節(jié)點(diǎn),實(shí)現(xiàn)企業(yè)級(jí)大數(shù)據(jù)的在線采集和反控,助力基建安全質(zhì)量管理工作。 【項(xiàng)目計(jì)劃】 1、在AI300G智能盒上安裝Ubuntu操作系統(tǒng)
    發(fā)表于 07-16 17:14

    目前國(guó)產(chǎn)fpga發(fā)展有哪些趨勢(shì)

    如今國(guó)產(chǎn)fpga也是如火如荼,請(qǐng)問(wèn)現(xiàn)在國(guó)產(chǎn)fpga芯片的發(fā)展有哪些趨勢(shì)呢?
    發(fā)表于 06-30 08:14

    緊抓行業(yè)發(fā)展機(jī)遇,三清互聯(lián)實(shí)現(xiàn)經(jīng)營(yíng)規(guī)模穩(wěn)步增長(zhǎng)

    和技術(shù)創(chuàng)新能力,成功抓住了行業(yè)發(fā)展的黃金機(jī)遇。依托多年積累的核心優(yōu)勢(shì),2023年三清互聯(lián)營(yíng)收突破7億元,實(shí)現(xiàn)穩(wěn)步增長(zhǎng)。 技術(shù)創(chuàng)新引領(lǐng)行業(yè)潮流 三清互聯(lián)作為技術(shù)創(chuàng)新型高新技術(shù)企業(yè),不僅在
    的頭像 發(fā)表于 05-15 14:56 ?298次閱讀

    RISC-V在服務(wù)器方面應(yīng)用與發(fā)展前景

    需求。融合RISC-V、擴(kuò)展指令集、Chiplet(小芯片組)等技術(shù),發(fā)展新型服務(wù)器被認(rèn)為是中國(guó)的一個(gè)機(jī)遇。 此外,RISC-V在服務(wù)器方面的應(yīng)用也得到了業(yè)界和學(xué)術(shù)界的大力支持。例如,阿里巴巴等企業(yè)
    發(fā)表于 04-28 09:04

    RISC-V在服務(wù)器方面的應(yīng)用與發(fā)展前景如何?剛畢業(yè)的學(xué)生才開(kāi)始學(xué)來(lái)的及嗎?

    需求。融合RISC-V、擴(kuò)展指令集、Chiplet(小芯片組)等技術(shù),發(fā)展新型服務(wù)器被認(rèn)為是中國(guó)的一個(gè)機(jī)遇。 此外,RISC-V在服務(wù)器方面的應(yīng)用也得到了業(yè)界和學(xué)術(shù)界的大力支持。例如,阿里巴巴等企業(yè)
    發(fā)表于 04-28 08:49

    英特爾成立獨(dú)立運(yùn)營(yíng)的FPGA公司,550億市場(chǎng)迎變局

    隨著人工智能技術(shù)的快速發(fā)展,雖然其復(fù)雜性不斷增加,但同時(shí)也為企業(yè)和機(jī)構(gòu)帶來(lái)了前所未有的機(jī)遇。
    的頭像 發(fā)表于 03-15 10:13 ?487次閱讀

    【換道賽車(chē):新能源汽車(chē)的中國(guó)道路 | 閱讀體驗(yàn)】1.汽車(chē)產(chǎn)業(yè)大變局

    一起期待未來(lái)汽車(chē)產(chǎn)業(yè)的變革和發(fā)展吧! 這場(chǎng)汽車(chē)產(chǎn)業(yè)的大變局將為汽車(chē)行業(yè)帶來(lái)更加廣闊的發(fā)展空間和機(jī)遇,同時(shí)也將帶來(lái)一系列的挑戰(zhàn)和變革。只有不斷
    發(fā)表于 03-04 07:28

    CCLinkie轉(zhuǎn)Modbus RTU網(wǎng)關(guān)為電力行業(yè)帶來(lái)機(jī)遇。

    隨著科技的不斷發(fā)展,電力行業(yè)正面臨著前所未有的挑戰(zhàn)與機(jī)遇。在這個(gè)背景下,數(shù)據(jù)采集和通訊設(shè)備在電力系統(tǒng)中扮演著至關(guān)重要的角色。Modbus RTU作為一種成熟的通訊協(xié)議,在電力行業(yè)中被廣泛使用,而CCLinkie轉(zhuǎn)Modbus RTU網(wǎng)關(guān)的出現(xiàn),無(wú)疑為電力行業(yè)
    的頭像 發(fā)表于 01-09 11:13 ?267次閱讀

    CCLinkie轉(zhuǎn)Modbus RTU網(wǎng)關(guān)為電力行業(yè)帶來(lái)機(jī)遇

    隨著科技的不斷發(fā)展,電力行業(yè)正面臨著前所未有的挑戰(zhàn)與機(jī)遇。在這個(gè)背景下,數(shù)據(jù)采集和通訊設(shè)備在電力系統(tǒng)中扮演著至關(guān)重要的角色。Modbus RTU作為一種成熟的通訊協(xié)議,在電力行業(yè)中被廣泛使用,而CCLinkie轉(zhuǎn)Modbus RTU網(wǎng)關(guān)的出現(xiàn),無(wú)疑為電力行業(yè)
    的頭像 發(fā)表于 01-08 14:27 ?315次閱讀
    CCLinkie轉(zhuǎn)Modbus RTU網(wǎng)關(guān)為電力行業(yè)<b class='flag-5'>帶來(lái)</b>新<b class='flag-5'>機(jī)遇</b>

    擴(kuò)展模塊驅(qū)動(dòng)分布式I/O在新能源鋰電池自動(dòng)化生產(chǎn)中的發(fā)展

    新能源行業(yè)的快速發(fā)展,新能源鋰電池生產(chǎn)面臨著越來(lái)越多的挑戰(zhàn)和機(jī)遇。為了滿(mǎn)足市場(chǎng)對(duì)高品質(zhì)、高性能鋰電池的需求,企業(yè)需要不斷改進(jìn)生產(chǎn)技術(shù)、提高生產(chǎn)效率和品質(zhì)。 軟包鋰電池生產(chǎn)制造時(shí),需要對(duì)其外殼聚合物
    發(fā)表于 12-28 11:20

    企業(yè)如何抓住數(shù)電樂(lè)企機(jī)遇,實(shí)現(xiàn)跨越式發(fā)展?

    百望云能為企業(yè)提供商業(yè)分析和數(shù)據(jù)增值服務(wù),應(yīng)用可視化的方式幫助企業(yè)了解經(jīng)營(yíng)管理現(xiàn)狀并有效防控風(fēng)險(xiǎn),通過(guò)深挖數(shù)據(jù)價(jià)值為企業(yè)決策提供依據(jù),持續(xù)提升組織效能。
    的頭像 發(fā)表于 12-07 14:08 ?328次閱讀
    <b class='flag-5'>企業(yè)</b>如何<b class='flag-5'>抓住</b>數(shù)電樂(lè)企<b class='flag-5'>機(jī)遇</b>,實(shí)現(xiàn)跨越式<b class='flag-5'>發(fā)展</b>?

    全球FPGA市場(chǎng)現(xiàn)狀和發(fā)展前景展望

    全球FPGA市場(chǎng)現(xiàn)狀和發(fā)展前景展望 當(dāng)今,半導(dǎo)體市場(chǎng)格局已成三足鼎立之勢(shì),FPGA,ASIC和ASSP三分天下。市場(chǎng)統(tǒng)計(jì)數(shù)據(jù)表明,FPGA已經(jīng)逐步侵蝕ASIC和ASSP的傳統(tǒng)市場(chǎng),并處
    發(fā)表于 11-08 17:19