0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎么利用官網(wǎng)和Vivado的Documention進(jìn)行相關(guān)的操作

FPGA之家 ? 來(lái)源:FPGA之家 ? 作者:FPGA之家 ? 2020-12-28 09:39 ? 次閱讀

有的時(shí)候需要查找一些官網(wǎng)的例程進(jìn)行學(xué)習(xí)和參考,但是總感覺(jué)無(wú)從下手,今天就教大家怎么利用官網(wǎng)和Vivado的Documention進(jìn)行相關(guān)的操作。不清楚使用哪些IP或者不清楚需要參考哪個(gè)文檔

首先點(diǎn)擊Help-->Documention and Tutorials就可以打開(kāi)XIlinx Documention瀏覽器了。

148e9488-46d5-11eb-8b86-12bb97331649.png

打開(kāi)后如下:

14c2e3be-46d5-11eb-8b86-12bb97331649.png

主要分為:1、分類(lèi)窗口;2、文件選擇窗口;3、設(shè)置窗口;4、選擇窗口;

可以在3窗口進(jìn)行搜索。

假如現(xiàn)在我需要查找一下7系列FPGA中關(guān)于HLS的使用,那么我可以通過(guò)1窗口進(jìn)行分類(lèi)選擇,如下:

15451604-46d5-11eb-8b86-12bb97331649.png

157a1ab6-46d5-11eb-8b86-12bb97331649.png

15cdc71a-46d5-11eb-8b86-12bb97331649.png

就可以在2窗口得到我想要的文檔:

16406932-46d5-11eb-8b86-12bb97331649.png

點(diǎn)擊進(jìn)去就可以閱讀,如果想要下載也可以,這里可以進(jìn)行兩種設(shè)置,一是下載路徑設(shè)置二是打開(kāi)文檔的閱讀器設(shè)置,具體位置如下圖所示:

1672609a-46d5-11eb-8b86-12bb97331649.png

171bb9d8-46d5-11eb-8b86-12bb97331649.png

可以按照自己的需求進(jìn)行設(shè)置。找到了或者已知使用哪種或者哪個(gè)IP怎么下載例程

這里提供兩種方式:

1、使用Xilinx Doc直接搜索相關(guān)的Doc,這里舉例AXI ethernet,相關(guān)的應(yīng)用手冊(cè)是xapp1082(還有其他的參考,這里以比較常見(jiàn)的舉例),直接搜索這個(gè)文檔,打開(kāi)后如下圖所示:

17b987bc-46d5-11eb-8b86-12bb97331649.png

這兩個(gè)位置可以下載相關(guān)參考例程,直接點(diǎn)擊下載即可,建議該文檔直接使用Chrome打開(kāi),點(diǎn)擊鏈接會(huì)知道跳轉(zhuǎn),其他PDF閱讀器也可。

2、在IP管理器里找到該IP

186ae23c-46d5-11eb-8b86-12bb97331649.png

雙擊進(jìn)入

18ba19f6-46d5-11eb-8b86-12bb97331649.png

其中左上角的Documentation,有Product Guide等文檔,其中Change Log(修改BUG記錄)、Product Webpage和Answer Records都是比較重要的文檔,對(duì)使用好該IP都有很重要的作用,因?yàn)榕c本篇文章不想關(guān),這里就不展開(kāi)描述了,點(diǎn)擊Product Guide就能通過(guò)Xilinx Documentation打開(kāi)這個(gè)文檔,這個(gè)文檔相當(dāng)相當(dāng)重要,包括整個(gè)IP的使用說(shuō)明,每個(gè)參數(shù)的說(shuō)明,時(shí)序相關(guān),以及一些Debug,仿真相關(guān)說(shuō)明,如果在使用該IP時(shí)出現(xiàn)問(wèn)題,請(qǐng)仔細(xì)閱讀該文檔,同時(shí)該文檔會(huì)提供參考示例鏈接(這個(gè)IP比較特殊,是兩個(gè)IP結(jié)合在一起的,所以沒(méi)有refence(瘋狂翻車(chē)))。

怎么使用下載下來(lái)的參考設(shè)計(jì)

目前官網(wǎng)下載下來(lái)的參考例程異?!昂?jiǎn)單",主要通過(guò)TCL腳本提供,對(duì)于不熟悉使用該腳本的人可能會(huì)比較懵,但是不需要過(guò)于擔(dān)心,只需要按照下面的步驟就可以輕松利用該腳本構(gòu)建Vivado工程。

下載下來(lái)的參考文件一定要先閱讀readme文件,里面包含了整個(gè)例程的作用和文件構(gòu)成,以XAPP1082為例如下:

1933d160-46d5-11eb-8b86-12bb97331649.png

進(jìn)入到xapp1082xapp1082_2017_4hardwarevivadoscripts文件夾下,可以看到四個(gè)例程:

197a0f18-46d5-11eb-8b86-12bb97331649.png

作用就不展開(kāi)描述了,隨便進(jìn)入一個(gè)文件夾下:

19b3d568-46d5-11eb-8b86-12bb97331649.png

里面只用三個(gè)文件,總大小不足100k(要是一個(gè)完整的工程,至少要100M左右,所以TCL的作用不言而喻),進(jìn)如這個(gè)文件夾主要想要確認(rèn)下導(dǎo)出該腳本的Vivado版本(不確認(rèn)的話(huà),后期運(yùn)行會(huì)報(bào)錯(cuò)),外層文件夾已經(jīng)有相關(guān)的版本說(shuō)明了(xapp1082_2017_4)打開(kāi)pl_eth_sgmii_bd.tcl(記事本及相關(guān)文本閱讀器都可以):

19f1b6a8-46d5-11eb-8b86-12bb97331649.png

如果你的Vivado版本和這個(gè)一樣,那么不需要修改,如果不一樣請(qǐng)修改和你的版本一樣,我使用的是Vivado2018.3,修改如下:

接下來(lái)打開(kāi)Linux terminal or Vivado tcl shell in windows

通過(guò)CD命令進(jìn)入歷程所在的文件夾:

1ab447ae-46d5-11eb-8b86-12bb97331649.png

注意這里是“/”不是WIN系統(tǒng)常用的“”,之后執(zhí)行:

vivado -source pl_eth_sgmii.tcl

1adfd72a-46d5-11eb-8b86-12bb97331649.png

就可以打開(kāi)Vivado并自動(dòng)構(gòu)建Demo了:

1b14b558-46d5-11eb-8b86-12bb97331649.png

這里說(shuō)明一下,我使用的Vivado版本和例程版本不相同所以構(gòu)建完成后會(huì)有一些小Bug,按照提示修改即可。

構(gòu)建的工程保存在如下路徑:

責(zé)任編輯:xj

原文標(biāo)題:【Vivado那些事】如何查找官網(wǎng)例程及如何使用官網(wǎng)例程

文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • IP
    IP
    +關(guān)注

    關(guān)注

    5

    文章

    1541

    瀏覽量

    148922
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    797

    瀏覽量

    65855

原文標(biāo)題:【Vivado那些事】如何查找官網(wǎng)例程及如何使用官網(wǎng)例程

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    一個(gè)更適合工程師和研究僧的FPGA提升課程

    約束; ● 利用UltraScale FPGA收發(fā)器進(jìn)行設(shè)計(jì); ● 高速存儲(chǔ)接口設(shè)計(jì); ● 利用以太網(wǎng) MAC 控制器進(jìn)行設(shè)計(jì); ●
    發(fā)表于 06-05 10:09

    Vivado 使用Simulink設(shè)計(jì)FIR濾波器

    領(lǐng)域都有著廣泛的應(yīng)用。 Vivado自帶的FIR濾波器IP核已經(jīng)很好用,這里借FIR濾波器的設(shè)計(jì),介紹Simulink圖形設(shè)計(jì)編程方法。Simulink可以使設(shè)計(jì)更直觀,使硬件資源得到更為高效的利用
    發(fā)表于 04-17 17:29

    深入探索Vivado非工程模式FPGA設(shè)計(jì)流程

    在設(shè)計(jì)過(guò)程的每個(gè)階段,設(shè)計(jì)者均可以打開(kāi)Vivado集成開(kāi)發(fā)環(huán)境,對(duì)存儲(chǔ)器中保存的當(dāng)前設(shè)計(jì)進(jìn)行分析和操作
    發(fā)表于 04-03 09:36 ?622次閱讀
    深入探索<b class='flag-5'>Vivado</b>非工程模式FPGA設(shè)計(jì)流程

    NUCLEO-L073RZ燒錄網(wǎng)的代碼后,運(yùn)行不起來(lái)是怎么回事?

    NUCLEO-L073RZ開(kāi)發(fā)板自帶的固件可以運(yùn)行,但是燒錄網(wǎng)的代碼后,運(yùn)行不起來(lái)
    發(fā)表于 04-03 07:30

    STM32MP135F-DK按照網(wǎng)例程跑代碼報(bào)錯(cuò)的原因?怎么解決?

    如圖,按照網(wǎng)例程跑代碼,會(huì)出現(xiàn)這樣的報(bào)錯(cuò)。請(qǐng)問(wèn)有什么解決方法嗎?
    發(fā)表于 03-18 08:30

    請(qǐng)問(wèn)是只有同頻率交流電才能進(jìn)行相量運(yùn)算嗎?

    為什么只有同頻率交流電才能進(jìn)行相量運(yùn)算
    發(fā)表于 02-22 06:02

    使用MCE對(duì)電機(jī)進(jìn)行電壓開(kāi)環(huán)控制,如何操作

    我想使用 MCE 對(duì)電機(jī)進(jìn)行電壓開(kāi)環(huán)控制,但我不知道如何操作。 我的是 PMSM 電機(jī),有相關(guān)的例程嗎? 謝謝!
    發(fā)表于 01-25 07:29

    如何禁止vivado自動(dòng)生成 bufg

    定和可靠。Vivado在編譯設(shè)計(jì)過(guò)程中會(huì)自動(dòng)檢測(cè)到時(shí)鐘信號(hào),并自動(dòng)生成BUFG來(lái)緩沖時(shí)鐘。然而,在某些情況下,我們可能希望手動(dòng)管理時(shí)鐘信號(hào)。 要禁止Vivado自動(dòng)生成BUFG,可以按照以下步驟進(jìn)行
    的頭像 發(fā)表于 01-05 14:31 ?1453次閱讀

    Vivado時(shí)序問(wèn)題分析

    有些時(shí)候在寫(xiě)完代碼之后呢,Vivado時(shí)序報(bào)紅,Timing一欄有很多時(shí)序問(wèn)題。
    的頭像 發(fā)表于 01-05 10:18 ?1432次閱讀

    VIVADO安裝問(wèn)題解決

    vivado出現(xiàn)安裝問(wèn)題剛開(kāi)始還以為是安裝路徑包含中文空格了,重裝的注意了一下,發(fā)現(xiàn)還是這個(gè)問(wèn)題。。。。后來(lái)又一頓操作猛如虎,終于發(fā)現(xiàn)了問(wèn)題。出這個(gè)問(wèn)題的原因是vivado壓縮包解壓的路徑包含中文了把解壓文件放到不含中文的地方,
    發(fā)表于 12-22 10:56 ?0次下載

    AD7147 input pin和conversion stage是怎么進(jìn)行相互連接的?

    input pin和conversion stage是怎么進(jìn)行相互連接的,是一個(gè)input pin可以做到連接12個(gè)conversion stage,然后共產(chǎn)生13X12個(gè)conversion stages,還是說(shuō)這個(gè)電路最多只能使用12個(gè)pin?
    發(fā)表于 12-18 06:23

    VIVADO軟件使用問(wèn)題總結(jié)

    【關(guān)鍵問(wèn)題?。。?!重要?。?!】VIVADO會(huì)在MESSAGE窗口出提示很多錯(cuò)誤和警告信息!
    的頭像 發(fā)表于 12-15 10:11 ?1531次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問(wèn)題總結(jié)

    使用AD9914進(jìn)行相位糾正功能的疑惑求解答

    最近使用AD9914進(jìn)行相位糾正功能實(shí)現(xiàn)。存在一些疑惑: 對(duì)于預(yù)設(shè)的16位相位偏移字(POW)在送入AD9914執(zhí)行后,對(duì)于輸出的波形,請(qǐng)問(wèn)是會(huì)出現(xiàn) 相位截?cái)囝?lèi)型的波形(我在示波器上沒(méi)能捕捉到)(圖1),還是產(chǎn)生類(lèi)似頻率增長(zhǎng)(相位累加器斜率增加)的波形(圖2)快速追到補(bǔ)償?shù)南辔唬?圖1 圖2
    發(fā)表于 12-05 08:26

    使用Vivado高層次綜合(HLS)進(jìn)行FPGA設(shè)計(jì)的簡(jiǎn)介

    電子發(fā)燒友網(wǎng)站提供《使用Vivado高層次綜合(HLS)進(jìn)行FPGA設(shè)計(jì)的簡(jiǎn)介.pdf》資料免費(fèi)下載
    發(fā)表于 11-16 09:33 ?0次下載
    使用<b class='flag-5'>Vivado</b>高層次綜合(HLS)<b class='flag-5'>進(jìn)行</b>FPGA設(shè)計(jì)的簡(jiǎn)介

    使用Altium Designer21軟件對(duì)BGA器件進(jìn)行扇出操作

    BGA fanout操作是PCB設(shè)計(jì)中,利用EDA軟件(如AD,CADENCE等)對(duì)BGA器件進(jìn)行的一種引腳(pin)引出操作
    的頭像 發(fā)表于 10-09 14:57 ?6550次閱讀
    使用Altium Designer21軟件對(duì)BGA器件<b class='flag-5'>進(jìn)行</b>扇出<b class='flag-5'>操作</b>