0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

中國FPGA芯片行業(yè)綜述

電子工程師 ? 來源:FPGA技術(shù)江湖 ? 作者:FPGA技術(shù)江湖 ? 2021-01-04 09:51 ? 次閱讀

FPGA芯片定義及物理結(jié)構(gòu)

FPGA芯片作為專用集成電路ASIC)領(lǐng)域中半定制電路面市,克服定制電路靈活度不足的問題以及傳統(tǒng)可編程器件門陣列數(shù)有限的缺陷。

FPGA(Field Programmable Gate Array)芯片基于可編程器件(PAL、GAL)發(fā)展而來,是半定制化、可編程的集成電路。

發(fā)明者:賽靈思聯(lián)合創(chuàng)始人Ross Freeman于1984年發(fā)明FPGA集成電路結(jié)構(gòu)。全球第一款商用FPGA芯片為賽靈思XC4000系列FPGA產(chǎn)品。

FPGA芯片按固定模式處理信號,可執(zhí)行新型任務(計算任務、通信任務等)。FPGA芯片相對專用集成電路(如ASIC芯片)更具靈活性,相對傳統(tǒng)可編程器件可添加更大規(guī)模電路數(shù)量以實現(xiàn)多元功能。

物理結(jié)構(gòu):FPGA芯片主要由三部分組成,分別為IOE(input output element,輸入輸出單元)、LAB(logic array block,邏輯陣列塊,賽靈思定義為可配置邏輯塊CLB)以及Interconnect(內(nèi)部連接線)。

a2527cfa-4b7e-11eb-8b86-12bb97331649.jpg

FPGA芯片特點及分類

FPGA芯片在實時性(數(shù)據(jù)信號處理速度快)、靈活性等方面具備顯著優(yōu)勢,在深度學習領(lǐng)域占據(jù)不可替代地位,同時具有開發(fā)難度高的特點。

FPGA芯片具備以下特點:

設(shè)計靈活:屬于硬件可重構(gòu)的芯片結(jié)構(gòu),內(nèi)部設(shè)置數(shù)量豐富的輸入輸出單元引腳及觸發(fā)器。

兼容性強:FPGA芯片可與CMOS、TTL等大規(guī)模集成電路兼容,協(xié)同完成計算任務。

并行計算:FPGA內(nèi)部結(jié)構(gòu)可按數(shù)據(jù)包步驟多少搭建相應數(shù)量流水線,不同流水線處理不同數(shù)據(jù)包,實現(xiàn)流水線并行、數(shù)據(jù)并行功能。

適用性強:是專用電路中開發(fā)周期最短、應用風險最低的器件之一(部分客戶無需投資研發(fā)即可獲得適用FPGA芯片)。

地位提升:早期在部分應用場景是ASIC芯片的批量替代品;近期隨微軟等頭部互聯(lián)網(wǎng)企業(yè)數(shù)據(jù)中心規(guī)模擴大,F(xiàn)PGA芯片應用范圍擴大。

FPGA廠商主要提供基于兩種技術(shù)類型的FPGA芯片:Flash技術(shù)類、SRAM技術(shù)類(Static Random-access Memory,靜態(tài)隨機存取存儲器)。

兩類技術(shù)均可實現(xiàn)系統(tǒng)層面編程功能,具備較高計算性能,系統(tǒng)門陣列密度均可超過1兆。

核心區(qū)別:

1、基于Flash的可編程器件具備非易失性特征,即電流關(guān)閉后,所存儲數(shù)據(jù)不消失。

2、基于SRAM技術(shù)的FPGA芯片不具備非易失性特征,是應用范圍最廣泛的架構(gòu)。

a2cfae0a-4b7e-11eb-8b86-12bb97331649.jpg

a318183e-4b7e-11eb-8b86-12bb97331649.jpg

FPGA芯片與其他主流芯片對比

CPU為通用型器件,F(xiàn)PGA架構(gòu)相對CPU架構(gòu)偏重計算效率,依托FPGA并行計算處理視覺算法可大幅提升計算速率,降低時延。

FPGA芯片相較于CPU芯片

CPU處理計算指令流程:

CPU通過專用譯碼器接收任務指令,接收過程分為兩步:指令獲取(CPU從專門存放指令的存儲器中提取執(zhí)行指令)以及指令翻譯(根據(jù)特定規(guī)則將指令翻譯為數(shù)據(jù)并傳輸至計算單元)。其中計算單元為晶體管(CPU基本元件),“開”、“關(guān)”分別對應“1”、“0”機器碼數(shù)字。

CPU處理計算指令特點:

?CPU物理結(jié)構(gòu)包括Control(指令獲取、指令翻譯)、Cache(臨時指令存儲器)、計算單元ALU(約占CPU空間20%)。

?CPU為通用型計算任務處理核心,可處理來自多個設(shè)備的計算請求,可隨時終止當前運算,轉(zhuǎn)向其他運算。

?邏輯控制單元及指令翻譯結(jié)構(gòu)較為復雜,可從中斷點繼續(xù)計算任務,為實現(xiàn)高度通用性而犧牲計算效率。

CPU視覺算法與FPGA視覺算法比較:

?CPU架構(gòu):CPU用于處理視覺算法需按指定順序執(zhí)行指令,第一指令在圖像整體運行完成后,第二指令開始運行。在4步操作指令環(huán)境下,設(shè)定單個操作指令運行需10毫秒,完成總算法耗時約40毫秒。

?FPGA架構(gòu):FPGA用于處理視覺算法采取規(guī)?;⑿羞\算模式,可于圖像不同像素內(nèi)同時運行4步操作指令。設(shè)定單個操作操作指令運行需10毫秒,F(xiàn)PGA完成圖像整體視覺算法處理時間僅為10毫秒,F(xiàn)PGA圖像處理速度顯著快于CPU。

?“FPGA+CPU”架構(gòu):此架構(gòu)下,圖像在CPU與FPGA之間傳輸,包含傳輸時間在內(nèi)的算法整體處理時間仍低于純CPU架構(gòu)。

?算法案例:以卷積濾鏡圖像銳化計算任務為例,系統(tǒng)需通過閾值運行圖像生產(chǎn)二進制圖像。CPU架構(gòu)下,系統(tǒng)需在閾值步驟前完成圖像整體卷積步驟,F(xiàn)PGA則支持相同算法同時運行,相對CPU架構(gòu),卷積計算速度提升約20倍。

a34d48d8-4b7e-11eb-8b86-12bb97331649.jpg

GPU作為圖形處理器件,計算峰值較高,遠期在機器學習領(lǐng)域(多指令平行處理單一數(shù)據(jù)),F(xiàn)PGA相對GPU在靈活性、功耗方面更勝一籌。

FPGA芯片相較于GPU芯片

GPU物理結(jié)構(gòu):

GPU為圖形處理器,針對各類計算機圖形繪制行為進行運算(如頂點設(shè)置、光影操作、像素操作等),標準GPU包括2D引擎、3D引擎、視頻處理引擎、顯存管理單元等。其中,3D引擎包含T&L單元、PiexlShader等。

GPU處理計算指令流程:

?頂點處理:GPU讀取3D圖形頂點數(shù)據(jù),根據(jù)外觀數(shù)據(jù)確定3D圖形形狀、位置關(guān)系,建立3D圖形骨架。

?光柵化計算:顯示器圖像由像素組成,系統(tǒng)需將圖形點、線通過算法轉(zhuǎn)換至像素點。矢量圖形轉(zhuǎn)換為像素點為光柵化計算過程。

?紋理貼圖:通過紋理映射對多變形表面進行帖圖處理,進而生成真實圖形。

?像素處理:GPU對光柵化完成的像素進行計算、處理,確定像素最終屬性,多通過Pixel Shader(像素著色器)完成。

GPU與FPGA特點對比:

?峰值性:GPU計算峰值(10Tflops)顯著高于FPGA計算峰值(小于1TFlops)。GPU架構(gòu)依托深度流水線等技術(shù)可基于標準單元庫實現(xiàn)手工電路定制。相對而言,F(xiàn)PGA設(shè)計資源受限,型號選擇決定邏輯資源上限(浮點運算資源占用較高),F(xiàn)PGA邏輯單元基于SRAM查找表,布線資源受限。

?內(nèi)存接口:GPU內(nèi)存接口(雙倍數(shù)據(jù)傳輸率存儲器等)帶寬優(yōu)于FPGA使用的DDR(雙倍速率同步動態(tài)隨機存儲器)接口,滿足機器學習頻繁訪問內(nèi)存需求。

?靈活性:FPGA可根據(jù)特定應用編程硬件,GPU設(shè)計完成后無法改動硬件資源,遠期機器學習使用多條指令平行處理單一數(shù)據(jù),F(xiàn)PGA硬件資源靈活性更能滿足需求。

?功耗:GPU平均功耗(200W)遠高于FPGA平均功耗(10W),可有效解決散熱問題。

a3772824-4b7e-11eb-8b86-12bb97331649.jpg

ASIC芯片專用度高,開發(fā)流程非重復成本(流片)極高,5G商用普及初期,F(xiàn)PGA可依托靈活性搶占市場,但規(guī)模化量產(chǎn)場景下,ASIC芯片更具競爭優(yōu)勢.

FPGA芯片相較于ASIC芯片

ASIC與FPGA開發(fā)流程區(qū)別:

?ASIC需從標準單元進行設(shè)計,功能需求及性能需求發(fā)生變化時,ASIC芯片設(shè)計需經(jīng)歷重新投片,設(shè)計流程時間成本、經(jīng)濟成本較高。

?FPGA包括預制門和觸發(fā)器,具備可編程互連特性,可實現(xiàn)芯片功能重新配置。相對而言,ASIC芯片較少具備重配置功能。

ASIC與FPGA經(jīng)濟成本、時間成本區(qū)別:

?ASIC設(shè)計過程涉及固定成本,設(shè)計過程造成材料浪費較少,相對FPGA重復成本較低,非重復成本較高(平均超百萬美元)。

?FPGA重復成本高于同類ASIC芯片,規(guī)?;慨a(chǎn)場景下,ASIC芯片單位IC成本隨產(chǎn)量增加持續(xù)走低,總成本顯著低于FPGA芯片。

?FPGA無需等待芯片流片周期,編程后可直接使用,相對ASIC有助于企業(yè)節(jié)省產(chǎn)品上市時間。

?技術(shù)未成熟階段,F(xiàn)PGA架構(gòu)支持靈活改變芯片功能,有助于降低器件產(chǎn)品成本及風險,更適用于5G商用初期的市場環(huán)境。

a3a61a6c-4b7e-11eb-8b86-12bb97331649.jpg

a43ed2de-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA芯片行業(yè)產(chǎn)業(yè)鏈分析

FPGA芯片構(gòu)成人工智能芯片重要細分市場,產(chǎn)業(yè)鏈細長,F(xiàn)PGA廠商作為中游企業(yè)對上游軟、硬件供應商及下游客戶企業(yè)議價能力均較強。

中國FPGA芯片行業(yè)產(chǎn)業(yè)鏈由上游底層算法設(shè)計企業(yè)、EDA工具供應商、晶圓代工廠、專用材料及設(shè)備供應商,中游各類FPGA芯片制造商、封測廠商及下游包括視覺工業(yè)廠商、汽車廠商、通信服務供應商、云端數(shù)據(jù)中心等在內(nèi)的應用場景客戶企業(yè)構(gòu)成。

a464e6ea-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA芯片行業(yè)產(chǎn)業(yè)鏈上游分析

FPGA芯片作為可編程器件,流片需求較少,對上游代工廠依賴度較低,需專業(yè)設(shè)計軟件、算法架構(gòu)支持。

底層算法架構(gòu)設(shè)計企業(yè)

FPGA芯片設(shè)計對底層算法架構(gòu)依賴度較低,上游算法供應商對中游FPGA芯片研發(fā)制造企業(yè)議價能力有限。境外算法架構(gòu)設(shè)計企業(yè)包括高通ARM、谷歌、微軟、IBM等。

專用軟件供應商

FPGA芯片企業(yè)需通過EDA等開發(fā)輔助軟件(quartus、vivado等)完成設(shè)計。可提供EDA軟件的國際一流企業(yè)(如Synopsys)向芯片研發(fā)企業(yè)收取高昂模塊使用費。中國市場可提供EDA產(chǎn)品的企業(yè)較少,以芯禾電子、華大九天、博達微科技等為代表,中國EDA企業(yè)研發(fā)起步較晚,軟件產(chǎn)品穩(wěn)定性、成熟度有待提高。中國FPGA芯片研發(fā)企業(yè)采購境外EDA軟件產(chǎn)品成本高昂,遠期有待境內(nèi)EDA企業(yè)消除與境外同類企業(yè)差距,為中游芯片企業(yè)提供價格友好型EDA產(chǎn)品。

a4fed868-4b7e-11eb-8b86-12bb97331649.jpg

晶圓代工廠

當前中國主流晶圓廠約30家,在規(guī)格上分別涵蓋8英寸晶圓、12英寸晶圓。其中,8英寸晶圓廠相對12英寸晶圓廠數(shù)量較多。中國本土12英寸晶圓廠以武漢新芯、中芯國際、紫光等為例,平均月產(chǎn)能約65千片。在中國設(shè)立晶圓廠的境外廠商包括Intel、海力士等。中國晶圓廠發(fā)展速度較快,如武漢新芯12寸晶圓以平均月產(chǎn)能200千片超過海力士平均月產(chǎn)能160千片。

a552fa1a-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA芯片行業(yè)產(chǎn)業(yè)鏈中游分析

中國FPGA芯片行業(yè)中游企業(yè)擁有較大利潤空間,隨研發(fā)能力積累及應用市場成熟,中游行業(yè)格局或發(fā)生裂變,從發(fā)展硬件、器件研發(fā)業(yè)務轉(zhuǎn)向發(fā)展軟件、平臺搭建業(yè)務。

a5ca0fc4-4b7e-11eb-8b86-12bb97331649.jpg

FPGA芯片產(chǎn)品可快速切入應用市場,具備不可替代性, 現(xiàn)階段應用場景較為分散。隨技術(shù)成熟度提升,終端廠商或考慮采用ASIC芯片置換FPGA芯片以降低成本(ASIC量產(chǎn)成本低于FPGA)。

FPGA芯片利潤空間巨大:

相對CPU、GPU、ASIC等產(chǎn)品,F(xiàn)PGA芯片利潤率較高。中低密度百萬門級、千萬門級FPGA芯片研發(fā)企業(yè)利潤率接近50%(可參考iPhone毛利率接近50%的水平)。高密度億門級FPGA芯片研發(fā)企業(yè)利潤率近70%(可以賽靈思、Intel收購的阿爾特拉為例)。

中國中游企業(yè)面臨市場潛力釋放節(jié)點

相較賽靈思、Intel等巨頭,中國FPGA在研發(fā)方面起步 晚,但研發(fā)進度逐漸趕上(與全球頭部廠商相差3代縮短至約2代)。

2017年起,中國FPGA邁入發(fā)展關(guān)鍵階段(從反向設(shè)計 向正向設(shè)計全面過度)。本報告期內(nèi)中美貿(mào)易摩擦加劇背景下,完成初期積累的中國FPGA行業(yè)中游企業(yè)面臨較好發(fā)展機遇。相對全球集成電路領(lǐng)域超4,600億美元市場規(guī)模,F(xiàn)PGA市場規(guī)模較小,存在增量釋放空間。

產(chǎn)業(yè)格局或發(fā)生變化

隨FPGA行業(yè)中游企業(yè)集中度提高,行業(yè)格局或發(fā)生裂 變。中國企業(yè)可通過市場策略調(diào)整,從硬件研發(fā)業(yè)務轉(zhuǎn)向軟件設(shè)計,從器件研發(fā)轉(zhuǎn)向平臺建設(shè)。

中國FPGA芯片行業(yè)產(chǎn)業(yè)鏈下游分析

中國FPGA芯片行業(yè)下游應用市場覆蓋范圍廣泛,以電子通信、消費電子占據(jù)頭部,工業(yè)控制、機器人控制、視頻控制、自動駕駛和服務器等多領(lǐng)域具備巨大發(fā)展?jié)摿Α?/p>

a66a45d4-4b7e-11eb-8b86-12bb97331649.jpg

FPGA廠商偏重通信市場及消費電子場景

中國FPGA應用市場以消費電子、通信為主。本土芯片在產(chǎn)品硬件性能等方面落后于境外高端產(chǎn)品,在高端民用市場尚不具備競爭力,但短期在LED顯示、工業(yè)視覺等領(lǐng)域出貨量較高。隨中國企業(yè)技術(shù)突破及5G技術(shù)成熟,中國FPGA廠商在通信領(lǐng)域或取得市場份額高增長。

汽車、數(shù)據(jù)中心應用緊隨其后

2025年后,邊緣計算技術(shù)及云計算技術(shù)在智慧交通網(wǎng)絡(luò)、 超算中心全面鋪開,自動駕駛、數(shù)據(jù)中心領(lǐng)域FPGA應用市場成長速度將超過通信、消費電子市場。

FPGA芯片下游應用市場規(guī)模增長情況:

2018年,通信、消費電子、汽車三大場景構(gòu)成全球FPGA芯片總需求規(guī)模約80%以上,且市場規(guī)模持續(xù)擴大。FPGA器件作為5G基站、汽車終端設(shè)備、邊緣計算設(shè)備核心器件,加速效果顯著,面臨下游市場確定性增量需求。隨中游本土企業(yè)實力提升,遠期國產(chǎn)FPGA芯片產(chǎn)品或以低價優(yōu)勢切入下游市場,降低下游企業(yè)采購高端可編程器 件成本。

a6c81a24-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA芯片行業(yè)市場規(guī)模

應用場景對FPGA芯片存量需求持續(xù)提升,5G、人工智能技術(shù)發(fā)展推動中國FPGA市場擴張,刺激增量需求釋放。

FPGA芯片行業(yè)市場規(guī)模

隨下游應用市場拓展,中國FPGA行業(yè)市場規(guī)模持續(xù)提升。2018年,中國范圍FPGA市場規(guī)模接近140億元。

5G新空口通信技術(shù)及機器學習技術(shù)發(fā)展將進一步刺激中國FPGA市場擴容。預計2023年,中國FPGA芯片市場規(guī)模將接近460億元。

a73ba052-4b7e-11eb-8b86-12bb97331649.jpg

全球FPGA市場規(guī)模潛力將釋放,主要得益于以下因素:

下游應用場景趨于廣泛:FPGA芯片相對ASIC更具靈活性,可節(jié)省流片時間成本,上市時間短,應用場景從通信收發(fā)器、消費電子等拓展至汽車電子、數(shù)據(jù)中心、高性能計算、工業(yè)視覺、醫(yī)療檢測等,短期內(nèi)中國FPGA應用場景保持分散格局,存量市場、增量市場均存在擴容空間。

部分應用場景不可替代性:FPGA芯片在技術(shù)不穩(wěn)定、靈活度需求高、需求量小的場景具備ASIC、CPU、GPU不可替代的低研發(fā)成本、制造成本優(yōu)勢(器件可根據(jù)具體需求完成現(xiàn)場編程需求)。

全球市場份額分析:

亞太市場需求顯著

亞太地區(qū)市場是FPGA的主要應用市場,占全球市場份額超40%。截至2018年底,中國FPGA市場規(guī)模接近140億元,且隨5G通信基礎(chǔ)設(shè)施鋪開而面臨較大增量需求空間。

北美龍頭企業(yè)把持頭部市場

北美地區(qū)賽靈思、Intel(收購阿爾特拉)保持FPGA市場雙寡頭壟斷格局。中國FPGA市場中,賽靈思份額超過50%,Intel份額接近30%。

a77ccb5e-4b7e-11eb-8b86-12bb97331649.jpg

FPGA芯片技術(shù)分析

計算任務:FPGA可用于處理多元計算密集型任務,依托流水線并行結(jié)構(gòu)體系,F(xiàn)PGA相對GPU、CPU在計算結(jié)果返回時延方面具備技術(shù)優(yōu)勢。

計算密集型任務:矩陣運算、機器視覺、圖像處理、搜索引擎排序、非對稱加密等類型的運算屬于計算密集型任務。該類運算任務可由CPU卸載至FPGA執(zhí)行。

FPGA執(zhí)行計算密集型任務性能表現(xiàn):

?計算性能相對CPU:如Stratix系列FPGA進行整數(shù)乘法運算,其性能與20核CPU相當,進行浮點乘法運算,其性能與8核CPU相當。

?計算性能相對GPU:FPGA進行整數(shù)乘法、浮點乘法運算,性能相對GPU存在數(shù)量級差距,可通過配置乘法器、浮點運算部件接近GPU計算性能。

FPGA執(zhí)行計算密集型任務核心優(yōu)勢:

搜索引擎排序、圖像處理等任務對結(jié)果返回時限要求較為嚴格,需降低計算步驟時延。傳統(tǒng)GPU加速方案下數(shù)據(jù)包規(guī)模較大,時延可達毫秒級別。FPGA加速方案下,PCIe時延可降至微秒級別。遠期技術(shù)推動下,CPU與FPGA數(shù)據(jù)傳輸時延可降至100納秒以下。

a7ae9148-4b7e-11eb-8b86-12bb97331649.jpg

FPGA體系結(jié)構(gòu)優(yōu)勢:FPGA可針對數(shù)據(jù)包步驟數(shù)量搭建同等數(shù)量流水線(流水線并行結(jié)構(gòu)),數(shù)據(jù)包經(jīng)多個流水線處理后可即時輸出。GPU數(shù)據(jù)并行模式依托不同數(shù)據(jù)單元處理不同數(shù)據(jù)包,數(shù)據(jù)單元需一致輸入、輸出。針對流式計算任務,F(xiàn)PGA流水線并行結(jié)構(gòu)在延遲方面具備天然優(yōu)勢。

a7ffad3a-4b7e-11eb-8b86-12bb97331649.jpg

通信任務:FPGA用于處理通信密集型任務不受網(wǎng)卡限制,在數(shù)據(jù)包吞吐量、時延方面表現(xiàn)優(yōu)于CPU方案,時延穩(wěn)定性較強。

通信密集型任務:對稱加密、防火墻、網(wǎng)絡(luò)虛擬化等運算屬于通信密集型計算任務,通信密集數(shù)據(jù)處理相對計算密集數(shù)據(jù)處理復雜度較低,易受通信硬件設(shè)備限制。

FPGA執(zhí)行通信密集型任務優(yōu)勢:

1、吞吐量優(yōu)勢:

CPU方案處理通信密集任務需通過網(wǎng)卡接收數(shù)據(jù),易受網(wǎng)卡性能限制(線速處理64字節(jié)數(shù)據(jù)包網(wǎng)卡有限,CPU及主板PCIe網(wǎng)卡插槽數(shù)量有限)。

GPU方案(高計算性能)處理通信密集任務數(shù)據(jù)包缺乏網(wǎng)口,需依靠網(wǎng)卡收集數(shù)據(jù)包,數(shù)據(jù)吞吐量受CPU及網(wǎng)卡限制,時延較長。

FPGA可接入40Gbps、100Gbps網(wǎng)線,并以線速處理各類數(shù)據(jù)包,可降低網(wǎng)卡、交換機配置成本。

2、時延優(yōu)勢:

CPU方案通過網(wǎng)卡收集數(shù)據(jù)包,并將計算結(jié)果發(fā)送至網(wǎng)卡。受網(wǎng)卡性能限制,DPDK數(shù)據(jù)包處理框架下,CPU處理通信密集任務時延近5微秒,且CPU時延穩(wěn)定性較弱,高負載情況下時延或超過幾十微秒,造成任務調(diào)度不確定性。

FPGA無需指令,可保證穩(wěn)定、極低時延,F(xiàn)PGA協(xié)同CPU異構(gòu)模式可拓展FPGA方案在復雜端設(shè)備的應用。

a8541e10-4b7e-11eb-8b86-12bb97331649.jpg

a88e314a-4b7e-11eb-8b86-12bb97331649.jpg

部署方式:FPGA部署包括集群式、分布式等,逐漸從中心化過渡至分布式,不同部署方式下,服務器溝通效率、故障傳導效應表現(xiàn)各異。

FPGA嵌入功耗負擔:FPGA嵌入對服務器整體功耗影響較小,以Catapult聯(lián)手微軟開展的FPGA加速機器翻譯項目為例,加速模塊整體總計算能力達到103Tops/W,與10萬塊GPU計算能力相當。相對而言,嵌入單塊FPGA導致服務器整體功耗增加約30W。

FPGA部署方式特點及限制:

1、集群部署特點及限制:FPGA芯片構(gòu)成專用集群,形成FPGA加速卡構(gòu)成的超級計算器(如Virtex系列早期實驗板于同一硅片部署6塊FPGA,單位服務器搭載4塊實驗板)。

?專用集群模式無法在不同機器FPGA之間實現(xiàn)通信;

?數(shù)據(jù)中心其他機器需集中發(fā)送任務至FPGA集群,易造成網(wǎng)絡(luò)延遲;

?單點故障導致數(shù)據(jù)中心整體加速能力受限

a8bc58ae-4b7e-11eb-8b86-12bb97331649.jpg

2、網(wǎng)線連接分布部署:為保證數(shù)據(jù)中心服務器同構(gòu)性(ASIC解決方案亦無法滿足),該部署方案于不同服務器嵌入FPGA,并通過專用網(wǎng)絡(luò)連接,可解決單點故障傳導、網(wǎng)絡(luò)延遲等問題。

?類同于集群部署模式,該模式不支持不同機器FPGA間通信;

?搭載FPGA芯片的服務器具備高度定制化特點,運維成本較高。

a8f7503a-4b7e-11eb-8b86-12bb97331649.jpg

3、共享服務器網(wǎng)絡(luò)部署:該部署模式下,F(xiàn)PGA置于網(wǎng)卡、交換機間,可大幅提高加速網(wǎng)絡(luò)功能并實現(xiàn)存儲虛擬化。

·FPGA針對每臺虛擬機設(shè)置虛擬網(wǎng)卡,虛擬交換機數(shù)據(jù)平面功能移動至FPGA內(nèi),無需CPU或物理網(wǎng)卡參與網(wǎng)絡(luò)數(shù)據(jù)包收發(fā)過程。

·該方案顯著提升虛擬機網(wǎng)絡(luò)性能(25Gbps),同時可降低數(shù)據(jù)傳輸網(wǎng)絡(luò)延遲(10倍)。

a92c1072-4b7e-11eb-8b86-12bb97331649.jpg

共享部署:分享服務器網(wǎng)絡(luò)部署模式下,F(xiàn)PGA加速器有助于降低數(shù)據(jù)傳輸時延,維護數(shù)據(jù)中心時延穩(wěn)定,顯著提升虛擬機網(wǎng)絡(luò)性能。

分享服務器網(wǎng)絡(luò)部署模式下FPGA加速Bing搜索排序:Bing搜索排序于該模式下采用10Gbps專用網(wǎng)線通信,每組網(wǎng)絡(luò)由8個FPGA組成。其中,部分負責提取信號特征,部分負責計算特征表達式,部分負責計算文檔得分,最終形成機器人即服務(RaaS)平臺。FPGA加速方案下,Bing搜索時延大幅降低,延遲穩(wěn)定性呈現(xiàn)正態(tài)分布。該部署模式下,遠程FPGA通信延遲相對搜索延遲可忽略。

a9d0a614-4b7e-11eb-8b86-12bb97331649.jpg

Azure服務器部署FPGA模式:Azure針對網(wǎng)絡(luò)及存儲虛擬化成本較高等問題采取FPGA分享服務器網(wǎng)絡(luò)部署模式。隨網(wǎng)絡(luò)計算速度達到40Gbps,網(wǎng)絡(luò)及存儲虛擬化CPU成本激增(單位CPU核僅可處理100Mbps吞吐量)。通過在網(wǎng)卡及交換機間部署FPGA,網(wǎng)絡(luò)連接擴展至整個數(shù)據(jù)中心。通過輕量級傳輸層,同一服務器機架時延可控制在3微秒內(nèi),觸達同數(shù)據(jù)中心全部FPGA機架時延可控制在20微秒內(nèi)。

aa2b7d78-4b7e-11eb-8b86-12bb97331649.jpg

加速層:依托高帶寬、低時延優(yōu)勢,F(xiàn)PGA可組成網(wǎng)絡(luò)交換層與服務器軟件之間的數(shù)據(jù)中心加速層,并隨分布式加速器規(guī)模擴大實現(xiàn)性能超線性提升。

數(shù)據(jù)中心加速層:FPGA嵌入數(shù)據(jù)中心加速平面,位于網(wǎng)絡(luò)交換層(支架層、第一層、第二層)及傳統(tǒng)服務器軟件(CPU層面運行軟件)之間。

加速層優(yōu)勢:

?FPGA加速層負責為每臺服務器(提供云服務)提供網(wǎng)絡(luò)加速、存儲虛擬化加速支撐,加速層剩余資源可用于深度神經(jīng)網(wǎng)絡(luò)(DNN)等計算任務。

?隨分布式網(wǎng)絡(luò)模式下FPGA加速器規(guī)模擴大,虛擬網(wǎng)絡(luò)性能提升呈現(xiàn)超線性特征。

加速層性能提升原理:使用單塊FPGA時,單片硅片內(nèi)存不足以支撐全模型計算任務,需持續(xù)訪問DRAM以獲取權(quán)重,受制于DRAM性能。加速層通過數(shù)量眾多的FPGA支撐虛擬網(wǎng)絡(luò)模型單層或單層部分計算任務。該模式下,硅 片內(nèi)存完整加載模型權(quán)重,可突破DRAM性能瓶頸,F(xiàn)PGA計算性能得到充分發(fā)揮。加速層需避免計算任務過度拆分而導致計算、通信失衡。

aa556c00-4b7e-11eb-8b86-12bb97331649.jpg

aab40706-4b7e-11eb-8b86-12bb97331649.jpg

eFPGA:嵌入式eFPGA技術(shù)在性能、成本、功耗、盈利能力等方面優(yōu)于傳統(tǒng)FPGA嵌入方案,可針對不同應用場景、不同細分市場需求提供靈活解決方案。

eFPGA技術(shù)驅(qū)動因素:

設(shè)計復雜度提升伴隨設(shè)備成本下降的經(jīng)濟趨勢促發(fā)市場對eFPGA技術(shù)需求。

器件設(shè)計復雜度提升:SoC設(shè)計實現(xiàn)過程相關(guān)軟件工具趨于復雜(如Imagination Technologies為滿足客戶完整開發(fā)解決方案需求而提供PowerVR圖形界面、Eclipse整合開發(fā)環(huán)境),工程耗時增加(編譯時間、綜合時間、映射時間,F(xiàn)PGA規(guī)模越大,編譯時間越長)、制模成本提高(FPGA芯片成本為同規(guī)格ASIC芯片成本100倍)。

設(shè)備單位功能成本持續(xù)下降:20世紀末期,F(xiàn)PGA平均售價較高(超1,000元),傳統(tǒng)模式下,F(xiàn)PGA與ASIC集成設(shè)計導致ASIC芯片管芯面積、尺寸增大,復雜度提升,早期混合設(shè)備成本較高。21世紀,相對批量生產(chǎn)的混合設(shè)備,F(xiàn)PGA更多應用于原型設(shè)計、預生產(chǎn)設(shè)計,成本相對傳統(tǒng)集成持續(xù)下降(最低約100元),應用靈活。

eFPGA技術(shù)優(yōu)勢:

1、更優(yōu)質(zhì):eFPGA IP核及其他功能模塊的SoC設(shè)計相對傳統(tǒng)FPGA嵌入ASIC解決方案,在功耗、性能、體積、成本等方面表現(xiàn)更優(yōu)。

2、更方便:下游應用市場需求更迭速度快,eFPGA可重新編程特性有助于設(shè)計工程師更新SoC,產(chǎn)品可更長久占有市場,利潤、收入、盈利能力同時大幅提升。eFPGA方案下SoC可實現(xiàn)高效運行,一方面迅速更新升級以支持新接口標準,另一方面可快速接入新功能以應對細分化市場需求。

3、更節(jié)能:SoC設(shè)計嵌入eFPGA技術(shù)可在提高總性能的同時降低總功耗。利用eFPGA技術(shù)可重新編程特性,工程師可基于硬件,針對特定問題對解決方案進行重新配置,進而提高設(shè)計性能、降低功耗。

ab450a30-4b7e-11eb-8b86-12bb97331649.jpg

云計算:FPGA技術(shù)無需依靠指令、無需共享內(nèi)存,在云計算網(wǎng)絡(luò)互連系統(tǒng)中提供低延遲流式通信功能,可廣泛滿足虛擬機之間、進程之間加速需求。

FPGA云計算任務執(zhí)行流程:主流數(shù)據(jù)中心以FPGA為計算密集型任務加速卡,賽靈思及阿爾特拉推出基于OpenCL的高層次編程模型,模型依托CPU觸達DRAM,向FPGA傳輸任務,通知執(zhí)行,F(xiàn)PGA完成計算并將執(zhí)行結(jié)果傳輸至DRAM,最終傳輸至CPU。

FPGA云計算性能升級空間:受限于工程實現(xiàn)能力,當前數(shù)據(jù)中心FPGA與CPU之間通信多以DRAM為中介,通過燒寫DRAM、啟動kernel、讀取DRAM的流程完成通信(FPGA DRAM相對CPU DRAM數(shù)據(jù)傳輸速度較慢),時延近2毫秒(OpenCL、多個kernel間共享內(nèi)存)。CPU與FPGA間通信時延存在升級空間,可借助PCIe DMA實現(xiàn)高效直接通信,時延最低可降至1微秒。

FPGA云計算通信調(diào)度新型模式:新通信模式下,F(xiàn)PGA與CPU無需依托共享內(nèi)存結(jié)構(gòu),可通過管道實現(xiàn)智行單元、主機軟件之間的高速通信。云計算數(shù)據(jù)中心任務較為單一,重復性強,主要包括虛擬平臺網(wǎng)絡(luò)構(gòu)建和存儲(通信任務)以及機器學習、對稱及非對稱加密解密(計算任務),算法較為復雜。新型調(diào)度模式下,CPU計算任務趨于碎片化,遠期云平臺計算中心或以FPGA為主,并通過FPGA將復雜計算任務卸載至CPU(區(qū)別于傳統(tǒng)模式下CPU卸載任務至FPGA的模式)。

abb0f7c2-4b7e-11eb-8b86-12bb97331649.jpg

abf0587c-4b7e-11eb-8b86-12bb97331649.jpg

全球FPGA大廠競爭

全球FPGA芯片市場競爭高度集中,頭部廠商占領(lǐng)“制空權(quán)”,新入局企業(yè)通過產(chǎn)品創(chuàng)新為行業(yè)發(fā)展提供動能,智能化市場需求或?qū)PGA技術(shù)推向主流。

全球FPGA市場由四大巨頭Xilinx賽靈思,Intel英特爾(收購阿爾特拉)、Lattice萊迪思、Microsemi美高森美壟斷,四大廠商壟斷9,000余項專利技術(shù),把握行業(yè)“制空權(quán)”。

截至2018年底,全球范圍FPGA市場規(guī)模由賽靈思占據(jù)首位(49%),英特爾(阿爾特拉)占比超30%,Lattice及Microsemi占據(jù)全球市場規(guī)模均超5%。相對而言,中國廠商整體僅占全球FPGA市場份額不足3%。

FPGA芯片行業(yè)形成以來,全球范圍約有超70家企業(yè)參與競爭,新創(chuàng)企業(yè)層出不窮(如Achronix Semiconductor、MathStar等)。產(chǎn)品創(chuàng)新為行業(yè)發(fā)展提供動能,除傳統(tǒng)可編程邏輯裝置(純數(shù)字邏輯性質(zhì)),新型可編程邏輯裝置(混訊性質(zhì)、模擬性質(zhì))創(chuàng)新速度加快,具體如Cypress Semiconductor研發(fā)具有可組態(tài)性混訊電路PSoC(Programmable System on Chip),再如Actel推出Fusion(可程序化混訊芯片)。此外,部分新創(chuàng)企業(yè)推出現(xiàn)場可編程模擬數(shù)組FPAA(Field Programmable Analog Array)等。

隨智能化市場需求變化演進,高度定制化芯片(SoC ASIC)因非重復投資規(guī)模大、研發(fā)周期長等特點導致市場風險劇增。相對而言,F(xiàn)PGA在并行計算任務領(lǐng)域具備優(yōu)勢,在高性能、多通道領(lǐng)域可以代替部分ASIC。人工智能領(lǐng)域多通道計算任務需求推動FPGA技術(shù)向主流演進。

基于FPGA芯片在批量較小(流片5萬片為界限)、多通道計算專用設(shè)備(雷達、航天設(shè)備)領(lǐng)域的優(yōu)勢,下游部分應用市場以FPGA取代ASIC應用方案。

ac9a0e30-4b7e-11eb-8b86-12bb97331649.jpg

FPGA

中國FPGA芯片行業(yè)驅(qū)動因素

5G通信體系建設(shè)提高FPGA芯片需求

通信場景是FPGA芯片在產(chǎn)業(yè)鏈下游應用最廣泛的場景(占比約40%),隨5G通信技術(shù)發(fā)展、硬件設(shè)備升級(基站天線收發(fā)器創(chuàng)新),F(xiàn)PGA面臨強勁市場需求驅(qū)動。

5G通信規(guī)?;逃迷诩矗苿覨PGA芯片用量提升、價格提升空間釋放。

ad044f16-4b7e-11eb-8b86-12bb97331649.jpg

新型基站天線收發(fā)器采用FPGA芯片

5G時期Massive MIMO基站技術(shù)條件下,基站收發(fā)通道數(shù)量從16T16R(雙模解決方案)提升至最高128T128R,可采用FPGA芯片實現(xiàn)多通道信號波束成形。如64通道毫米波MIMO全DBF收發(fā)器中頻和基帶子系統(tǒng)采用賽靈思Kintex-7系列FPGA。中頻和基帶子系統(tǒng)疊加實現(xiàn)通用無線接入功能。

在FPGA芯片行業(yè)內(nèi)有10年以上產(chǎn)品開發(fā)、算法研究經(jīng)驗的行業(yè)專家表示,F(xiàn)PGA相對CPU、GPU在功耗及計算速度方面具備優(yōu)勢,通信設(shè)備企業(yè)將加大FPGA器件在基站天線收發(fā)器等核心設(shè)備中的應用(如頭部移動通信設(shè)備廠商京信通信于新型收發(fā)器產(chǎn)品嵌入FPGA芯片)。

全球FPGA通信市場快速增長

截至2018年底,全球FPGA通信市場占據(jù)應用市場整體近45%。2020年至2025年,全球FPGA通信市場規(guī)模年復合增長率預計近10%。

5G基礎(chǔ)設(shè)施將以FPGA器件為核心組件

5G通信市場增長具備確定性。相關(guān)基礎(chǔ)設(shè)施(機房、宏站、微站等)滲透物聯(lián)網(wǎng)、邊緣計算等多元領(lǐng)域,5G基建項目以FPGA為核心零部件,推動FPGA價格上升空間釋放。

?未來10年,小基站數(shù)量或超10,000座,基站數(shù)量帶動FPGA器件用量提升。

?5G MIMO基站面臨數(shù)據(jù)高并發(fā)處理需求,單個基站FPGA用量整體提高(從4G時期2至3塊增加至5G時期4至5塊)。

?現(xiàn)階段基站用FPGA均價處于100元以內(nèi),技術(shù)復雜度提高等因素推動價格走高(>100元)。

自動駕駛規(guī)?;逃锰嵘慨a(chǎn)需求

自動駕駛領(lǐng)域ADAS系統(tǒng)、傳感器系統(tǒng)、車內(nèi)通信系統(tǒng)、娛樂信息系統(tǒng)等板塊對FPGA芯片產(chǎn)品產(chǎn)生增量需求,全球頭部FPGA廠商積極布局自動駕駛賽道。

ad41e344-4b7e-11eb-8b86-12bb97331649.jpg

FPGA巨頭看好自動駕駛賽道

截至2018年底,全球汽車半導體行業(yè)市場規(guī)模接近400億美元,其中,F(xiàn)PGA應用于汽車半導體領(lǐng)域市場僅占約2.5%。自動駕駛系統(tǒng)對車載芯片提出更高要求,主控芯片需求從傳統(tǒng)GPU拓展至ASIC、FPGA等芯片類型?,F(xiàn)階段,F(xiàn)PGA芯片在車載攝像頭、傳感器等硬件設(shè)備中的應用趨于成熟。此外,得益于編程靈活性,F(xiàn)PGA芯片在激光雷達領(lǐng)域應用廣泛。自動駕駛汽車高度依賴傳感器、攝像頭等硬件設(shè)備及車內(nèi)網(wǎng)等軟件系統(tǒng),對FPGA芯片數(shù)量需求顯著。頭部FPGA廠商(如賽靈思)搶占智能駕駛賽道,逐步加大與車企及車聯(lián)網(wǎng)企業(yè)的合作,截至2018年底,賽靈思FPGA方案嵌入車型拓展至111種。

adb70ad4-4b7e-11eb-8b86-12bb97331649.jpg

FPGA在自動駕駛系統(tǒng)領(lǐng)域應用覆蓋面廣

FPGA芯片在自動駕駛領(lǐng)域可應用于ADAS系統(tǒng)、激光雷達、自動泊車系統(tǒng)、馬達控制、車內(nèi)娛樂信息系統(tǒng)、駕駛員信息系統(tǒng)等板塊,應用面廣泛。具體可以魔視智能自動泊車系統(tǒng)為例,該系統(tǒng)將FPGA芯片接入車內(nèi)網(wǎng)CAN總 線,連接藍牙SD卡等通信組件,并通過MCU等與攝像頭、傳感器裝置連接。FPGA大廠賽靈思積極布局ADAS領(lǐng)域。遠期ADAS系統(tǒng)更趨復雜(包括前視攝像頭、駕駛監(jiān)視攝像頭、全景攝像頭、近程雷達、遠程激光雷達 等),推動FPGA用量空間增大。2025年,自動駕駛進入規(guī)模化商用階段,將持續(xù)推動FPGA與汽車電子、車載軟件系統(tǒng)的融合。

FPGA

中國FPGA芯片行業(yè)制約因素

FPGA設(shè)計人才團隊實力匱乏

FPGA芯片設(shè)計領(lǐng)域門檻高(高于CPU、存儲器、DSP),中國本土廠商起步晚,處于產(chǎn)業(yè)生態(tài)建設(shè)初期階段,在人才資源儲備方面基礎(chǔ)薄弱。

相對國際市場,中國FPGA芯片設(shè)計人才儲備不足

中國FPGA領(lǐng)域人才儲備約為美國相應人才儲備1/10

根據(jù)中國國際人才交流基金會等機構(gòu)發(fā)布的《中國集成電路產(chǎn)業(yè)人才白皮書》顯示,截至2018年底,中國集成電路產(chǎn)業(yè)存量人才約40萬人,該產(chǎn)業(yè)人才需求約于2020年突破70萬人,存在約30萬人以上人才缺口。在FPGA板塊,美國頭部廠商Intel、賽靈思、Lattice等及高校和研究機構(gòu)相關(guān)人才近萬人,相對而言,中國FPGA設(shè)計研發(fā)人才匱乏,頭部廠商如紫光同創(chuàng)、高云半導體、安路科技等研發(fā)人員儲備平均不足200人,產(chǎn)業(yè)整體人才團隊不足千人,成為制約中國FPGA芯片行業(yè)技術(shù)發(fā)展、產(chǎn)品升級的核心因素。

行業(yè)發(fā)展起步晚,產(chǎn)學研聯(lián)動缺失

中國FPGA行業(yè)于2000年起步,美國則具備自20世紀80年代研發(fā)起步的背景。2010年,中國FPGA芯片實現(xiàn)量產(chǎn)。美國高校與芯片廠商聯(lián)動緊密,將大量技術(shù)輸送給企業(yè),相較而言,中國企業(yè)缺乏與高校等研究機構(gòu)合作經(jīng)驗,產(chǎn)學研聯(lián)動不足,行業(yè)現(xiàn)有核心人才多從海外引進。

adfc67e6-4b7e-11eb-8b86-12bb97331649.jpg

研發(fā)實力匱乏制約企業(yè)成長

全球頭部FPGA廠商依托專利技術(shù)積累及人才培養(yǎng),以及早于中國企業(yè)20年的發(fā)展經(jīng)歷,在全球范圍牢固占據(jù)第一梯隊陣營。FPGA行業(yè)進入門檻高,中國頭部企業(yè)較難取得后發(fā)優(yōu)勢?,F(xiàn)階段,賽靈思已進入7納米工藝億門級高端FPGA產(chǎn)品研發(fā)階段,中國頭部廠商如紫光同創(chuàng)、高云半導體等啟動28納米工藝千萬門級(7,000萬)中高密度FPGA研發(fā)工作,與全球頂尖水平相差約2代至3代,亟需人才資源支持。

FPGA

中國FPGA芯片行業(yè)政策法規(guī)

政策分析

為進一步引導FPGA行業(yè)有序發(fā)展,凸顯集成電路產(chǎn)業(yè)戰(zhàn)略地位,國家政策部門整合行業(yè)、市場、用戶資源,為中國集成電路企業(yè)向國際第一梯隊目標發(fā)展打造政策基礎(chǔ)。

“十二五”以來,國家強調(diào)集成電路產(chǎn)業(yè)作為先導性產(chǎn)業(yè)的地位,更加重視芯片科技發(fā)展對工業(yè)制造轉(zhuǎn)型升級和信息技術(shù)發(fā)展的推動力。國家從市場需求、供給、產(chǎn)業(yè)鏈結(jié)構(gòu)、價值鏈等層面出發(fā),出臺多項利好政策。

aedee4f4-4b7e-11eb-8b86-12bb97331649.jpg

FPGA

中國FPGA芯片行業(yè)發(fā)展趨勢

FPGA芯片設(shè)計復雜度持續(xù)提高

2016至2018年,全球FPGA研發(fā)領(lǐng)域高性能、高安全性可編程芯片設(shè)計項目比重提高,F(xiàn)PGA設(shè)計復雜度日趨提升,具體可以安全特性設(shè)計增加為例。

安全特性需求增加,高性能FPGA芯片設(shè)計復雜度提高

af3e6a78-4b7e-11eb-8b86-12bb97331649.jpg

安全關(guān)鍵標準、指南增加

安全特性需求增加可以安全關(guān)鍵標準、指南增加為表現(xiàn)。2016年及歷史FPGA開發(fā)項目多基于一個安全關(guān)鍵標準進行,2018年及以后,更多FPGA研發(fā)項目以一個或多個安全關(guān)鍵標準、指南進行開發(fā)。

af91e982-4b7e-11eb-8b86-12bb97331649.jpg

安全保證硬件模塊設(shè)計項目增加

安全保證硬件模塊設(shè)計多用于加密密鑰、數(shù)字權(quán)限管理密鑰、密碼、生物識別參考數(shù)據(jù)等領(lǐng)域。相對2016年,2018年全球FPGA安全特性模塊設(shè)計項目占比顯著增加(增幅超5%)。安全特性提升增加設(shè)計驗證需求及驗證復雜度。

其他設(shè)計項目提高芯片驗證復雜性

①嵌入式處理器核心數(shù)量增加:相對2016年,2018年更多FPGA設(shè)計趨向SoC類(SoC-class)設(shè)計。2018年,超過40%FPGA設(shè)計包含2個或2個以上嵌入式處理器,接近15%FPGA設(shè)計包含4個或以上嵌入式處理器,SoC類設(shè)計增加驗證流程復雜性。

②異步時鐘域數(shù)量增加:2018年,約90%FPGA設(shè)計項目包含2個或以上異步時鐘域,多個異步時鐘域驗證需求增加驗證工作量(驗證模型趨于復雜,代碼異常增加)。

廣泛應用于機器學習強化項目

醫(yī)學診斷、工業(yè)視覺等領(lǐng)域?qū)C器學習需求增強,且面臨神經(jīng)網(wǎng)絡(luò)演化帶來的挑戰(zhàn)。相對CPU、GPU,F(xiàn)PGA技術(shù)更適應非固定、非標準設(shè)計平臺,與機器學習融合度加深。

FPGA芯片更適用于非固定、非標準機器學習演化環(huán)境

afec29b0-4b7e-11eb-8b86-12bb97331649.jpg

FPGA在機器學習領(lǐng)域表現(xiàn)優(yōu)越

?性能對比可參考賽靈思公開測試結(jié)果

針對GPU、FPGA在機器學習領(lǐng)域的性能表現(xiàn),賽靈思曾公布reVISION系列FPGA芯片與英偉達Tegra X1系列GPU芯片基準對比結(jié)果。數(shù)據(jù)顯示,F(xiàn)PGA方案在單位功耗圖像捕獲速度方面優(yōu)于GPU方案6倍,在計算機視覺處理幀速率方面優(yōu)于GPU方案42倍,同時,F(xiàn)PGA時延為GPU時延1/5。

?賽靈思FPGA與Intel芯片能效對比

相對IntelArria 10 SoC系列CPU器件,賽靈思FPGA器件可助力深度學習、計算機視覺運算效率提升3倍至7倍。

b011cdaa-4b7e-11eb-8b86-12bb97331649.jpg

企業(yè)采取新架構(gòu)(視覺數(shù)據(jù)傳輸至FPGA加速邊緣服務器集群)

?FPGA對流處理進行優(yōu)化

FPGA方案可針對視頻分析、深度學習推理進行流處理(大數(shù)據(jù)處理手段技術(shù)之一)優(yōu)化?;陟`活可編程特點,F(xiàn)PGA方案可滿足重新配置需求,適用于庫存管理、欺詐控制、面部識別等普通模型以及跟蹤、自然語言交互、情感檢測等復雜模型。

?初創(chuàng)企業(yè)積極采取FPGA方案

初創(chuàng)企業(yè)如Megh Computing、PointR.ai等積極采用FPGA方案建立新型視頻數(shù)據(jù)處理架構(gòu),發(fā)揮緊湊、低功耗計算模塊優(yōu)勢。

FPGA

中國FPGA芯片行業(yè)競爭格局

競爭格局概述

中國FPGA芯片行業(yè)發(fā)展起步較晚,呈現(xiàn)藍海市場特征,本土企業(yè)主攻中低密度市場,在高端“億門陣列”級細分市場尚不具備與國際頭部廠商競爭的實力。

b0ae0cce-4b7e-11eb-8b86-12bb97331649.jpg

國際競爭格局:全球FPGA市場早期由美國兩大巨頭(賽靈思、阿爾特拉)高度壟斷,經(jīng)市場一系列并購行為及初創(chuàng)團隊影響,國際市場第一梯隊陣營擴容(包括賽靈思、Intel、Lattice、Microsemi、Achronix、Flexlogic、Quicklogic等)。

b2123c0c-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA廠商:

中國FPGA芯片研發(fā)企業(yè)可以紫光同創(chuàng)、國微電子、成都華微電子、安路科技、智多晶、高云半導體、上海復旦微電子和京微齊力為例。

從產(chǎn)品角度分析,中國FPGA硬件性能指標相較賽靈思、Intel等差距較大。紫光同創(chuàng)是當前中國市場唯一具備自主產(chǎn)權(quán)千萬門級高性能FPGA研發(fā)制造能力的企業(yè)。上海復旦微電子于2018年5月推出自主知識產(chǎn)權(quán)億門級FPGA產(chǎn)品。中國FPGA企業(yè)緊跟大廠步伐,布局人工智能、自動駕駛等市場,打造高、中、低端完整產(chǎn)品線。

中國FPGA企業(yè)競爭突破口

現(xiàn)階段中國FPGA廠商芯片設(shè)計軟件、應用軟件不統(tǒng)一,易在客戶端造成資源浪費,頭部廠商可帶頭集中產(chǎn)業(yè)鏈資源,提高行業(yè)整體競爭力。

TOP10企業(yè)排名

中國FPGA芯片行業(yè)競爭主體包括研發(fā)類企業(yè)及應用解決方案供應商,隨人工智能、物聯(lián)網(wǎng)、5G技術(shù)速發(fā)展推動,中國FPGA廠商迎來市場切入最佳時期。

中國FPGA廠商特點

FPGA芯片行業(yè)競爭高度集中,中國FPGA廠商多以40nm、55nm產(chǎn)品系列為主,在中國市場及全球市場競爭力尚不可與賽靈思、英特爾匹敵(制造工藝、規(guī)模容量、軟件能力均處于劣勢)。中國廠商亟需在高集中度市場中尋求突圍路徑。2017年,通信行業(yè)剛需加速FPGA芯片國產(chǎn)化進程,但中國廠商多采取“低價競爭”策略,無法實現(xiàn)良性、可持續(xù)競爭。

中國廠商突破競爭瓶頸可從兩方面著手:

?FPGA制造工藝從28nm向16nm過度,并向國際領(lǐng)先水平7nm靠近,芯片邏輯單元規(guī)模從500K向1M、2M過度,遠期可挑戰(zhàn)3D芯片技術(shù)。

?廠商可依托可測性設(shè)計、可靠性設(shè)計、高測試標準、量產(chǎn)管理突破質(zhì)量瓶頸,采取“技術(shù)+產(chǎn)品+管理”策略取勝。

芯片開發(fā)企業(yè)

中國市場FPGA研發(fā)企業(yè)可以京微齊力、復旦微電子、紫光同創(chuàng)、高云半導體、安路科技、智多晶等為例。

應用解決方案企業(yè)

應用解決方案供應商具體可以聯(lián)捷科技、深維科技、傲睿智存為例。

b22f64e4-4b7e-11eb-8b86-12bb97331649.jpg

TOP10企業(yè)特點

中國FPGA芯片行業(yè)TOP10企業(yè)逐步推進FPGA技術(shù)國產(chǎn)化進程,受制造能力、封測工藝、IP資源等因素影響,中國FPGA芯片企業(yè)技術(shù)創(chuàng)新實力亟待提升。

b30a5ad6-4b7e-11eb-8b86-12bb97331649.jpg

紫光同創(chuàng):推出自主知識產(chǎn)權(quán)的大規(guī)模FPGA開發(fā)軟件Pango Design Suite,可支持千萬門級FPGA器件設(shè)計開發(fā)。

高云半導體:推出中國首顆55nm嵌入式Flash SRAM非易失性FPGA芯片,實現(xiàn)可編程邏輯器件、嵌入式處理器無縫連接。

安路科技:開展28nm、12nm千萬門級、五千萬門級FPGA、SoC FPGA研發(fā)工作,自主開發(fā)HDL描述至片上調(diào)試的完整系統(tǒng)。

遨格芯微:推出中國首個通用FPGA產(chǎn)品系列,在軟件及硬件引腳封裝等方面保持較高相互兼容性,支持低中高端嵌入式應用和升級。

復旦微電子:集成專用超高速串并轉(zhuǎn)換模塊、高靈活可配置模塊、等適用億門級FPGA應用的模塊電路。

智多晶:實現(xiàn)55nm、40nm工藝中密度FPGA量產(chǎn),自主研發(fā)FPGA開發(fā)軟件“HqFpga”,支持布局布線、時序分析、內(nèi)邏輯分析等任務。

京微齊力:采用40納米工藝芯片應用于智能穿戴設(shè)備領(lǐng)域,具備100項以上FPGA專利及專有技術(shù)(國際專利)授權(quán)及二次開發(fā)權(quán)。

聯(lián)捷科技:研發(fā)基于CNN的圖片分析技術(shù),推出FPGA加速方案,可將基于神經(jīng)網(wǎng)絡(luò)的人臉識別速度提升兩倍。

深維科技:側(cè)重于FPGA AI應用開發(fā),團隊具備Cadence、IBM、中科院等大廠經(jīng)驗,產(chǎn)品工程能力占據(jù)優(yōu)勢。

傲睿智存:提供新型FPGA視頻轉(zhuǎn)解碼服務,無需更換、添加硬件即可支持深度學習應用直接開發(fā)。

FPGA

中國FPGA芯片行業(yè)投資企業(yè)推薦

安路科技

安路科技目標市場包括高端、中端、低端下游客戶,積極參與國際競爭,以差異化競爭為發(fā)展戰(zhàn)略,以FPGA異構(gòu)計算架構(gòu)為重點研究方向之一。

上海安路信息科技企業(yè)簡介

上海安路信息科技有限公司(以下簡稱“安路科技”)于2011年11月在上海市虹口區(qū)注冊成立,是可編程邏輯器件、可編程系統(tǒng)級芯片、定制化嵌入式eFPGA IP、軟件設(shè)計工具EDA以及創(chuàng)新系統(tǒng)解決方案供應商。

安路科技目標市場包括高端(PHOENIX系列)、中端(EAGLE系列)、低端(ELF系列),安路科技堅持產(chǎn)品差異化、服務本地化、支持連續(xù)化、人才國際化戰(zhàn)略,積極參與國際競爭,爭取國際FPGA市場份額。

b36f11ec-4b7e-11eb-8b86-12bb97331649.jpg

企業(yè)亮點

差異化競爭

安路科技于2015年推出第一代FPGA AL3-10芯片,該器件性能優(yōu)良、性價比超中國市面同類產(chǎn)品50%或以上,且出貨量滿足規(guī)?;逃眯枨?,安路科技依托差異化優(yōu)勢于2016年獲取工信部軟件與集成電路促進中心“最具投資價值公司”獎項。2019年4月,安路科技推出ELF3代系列高性能FPGA產(chǎn)品及相應配套開發(fā)軟件。安路科技在FPGA核心架構(gòu)、軟件算法、系統(tǒng)集成等方面具備多項技術(shù)專利。

緊跟大廠布局人工智能領(lǐng)域

現(xiàn)階段,F(xiàn)PGA在云端應用已登錄全球六大云服務中心,云中心多采用搭載FPGA加速器的異構(gòu)計算機架構(gòu),F(xiàn)PGA芯片成為人工智能算法加速迭代背景下快速驗證解決方案。針對人工智能計算市場需求,安路科技推出FPAiA系統(tǒng)計劃,研發(fā)出存儲單元與計算單云深度融合的FPGA硬件架構(gòu)??商峁┌―NN算法優(yōu)化、FPAiA硬件位流映射等功能在內(nèi)的自動化AI協(xié)同設(shè)計系統(tǒng)。

b3d91560-4b7e-11eb-8b86-12bb97331649.jpg

2019年4月,安路科技推出ELF3系列高性能FPGA產(chǎn)品及相應配套開發(fā)軟件。安路科技在FPGA軟件算法、系統(tǒng)集成等方面具備多項技術(shù)專利。

上海安路信息科技產(chǎn)品介紹

安路科技代表產(chǎn)品示例

b461e4bc-4b7e-11eb-8b86-12bb97331649.jpg

b4b9d7a8-4b7e-11eb-8b86-12bb97331649.jpg

案例分析

智能LED模組(采用安路EF2系列FPGA)

?LED屏幕顯示亮度增強、色彩趨于鮮艷,多搭載FPGA芯片,LED屏幕主控芯片類似,傳輸接口類似,F(xiàn)PGA芯片價格競爭激烈。

?安路科技EF2系列FPGA芯片采用新架構(gòu),以低成本保證數(shù)據(jù)傳輸可靠性,應用于LED屏幕滿足屏幕控制、監(jiān)控等需求。

?安路科技基于市場調(diào)研對EF2 FPGA芯片進行二次優(yōu)化,將器件嵌入LED顯示模組,在降低數(shù)據(jù)傳輸EMI的同時做到監(jiān)控狀態(tài)回傳。

?模組在結(jié)構(gòu)上包括CPU、ADC、異步傳輸接口等,滿足LED全需求。

LED控制系統(tǒng)(采用安路EG4系列FPGA)

?應用于千兆以太網(wǎng)同步全彩LED控制系統(tǒng)。

?最多可包括187個通用輸入輸出接口,無需引腳復用即可實現(xiàn)24組顯示數(shù)據(jù)驅(qū)動。

?僅需3.3V、1.2V兩組電源。

?系統(tǒng)可靠性、靈活性較高(支持雙啟動、多啟動),用戶可對系統(tǒng)在線升級。

b52b3a56-4b7e-11eb-8b86-12bb97331649.jpg

智多晶

智多晶微電子打造多元、系列化CPLD、FPGA業(yè)務,目標客戶滲透民用安防、國防建設(shè)等多個領(lǐng)域,已實現(xiàn)55納米、40納米工藝中密度FPGA量產(chǎn)目標。

西安智多晶微電子企業(yè)簡介

西安智多晶微電子有限公司(以下簡稱“智多晶”)于2012年11月在西安市高新區(qū)注冊成立,是研究可編程邏輯電路器件技術(shù)并進行研發(fā)生產(chǎn)的公司。智多晶在成都、深圳設(shè)立銷售分部。智多晶主要提供可迅速可投入量產(chǎn)的系統(tǒng)集成解決方案,布局高性價比產(chǎn)品線。智多晶早期提供單一CPLD產(chǎn)品,逐步形成多元、系列化CPLD、FPGA產(chǎn)品線,為下游客戶提供系統(tǒng)解決方案。

現(xiàn)階段,智多晶芯片產(chǎn)品滲入民用安防、國防建設(shè)等多個領(lǐng)域。智多晶西安總部位于教學園區(qū)周邊,另外受西安政府打造千億級半導體產(chǎn)業(yè)集群目標帶動,智多晶具備環(huán)境優(yōu)勢。

b562d718-4b7e-11eb-8b86-12bb97331649.jpg

企業(yè)亮點:

創(chuàng)始團隊具備豐厚知識體系、扎實實踐經(jīng)驗

芯片設(shè)計復雜度較高,需研發(fā)人員具備龐大知識體系以及對技術(shù)、產(chǎn)品市場的融合視野。智多晶四位創(chuàng)始人資歷豐厚,其中CPU專家Jim Keller曾于AMD領(lǐng)導設(shè)計Ryzen芯片,助力AMD扭轉(zhuǎn)相對Intel的競爭劣勢。創(chuàng)始人賈紅是可編程邏輯器件版圖設(shè)計和電路設(shè)計領(lǐng)域?qū)<摇oanne是可編程邏輯器件結(jié)構(gòu)、軟件硬件接口、系統(tǒng)開發(fā)領(lǐng)域技術(shù)專家,曾主導境外高端可編程邏輯器件在高速數(shù)據(jù)流系統(tǒng)上的應用項目。

驗證市場需求,推出針對性解決方案

截至2019年上半年,智多晶已實現(xiàn)55納米、40納米工藝中密度FPGA量產(chǎn)目標,并推出內(nèi)嵌Flash、SDRAM等集成化方案的針對性產(chǎn)品。其中,55納米海獅FPGA芯片在LED顯示控制領(lǐng)域取得較好市場反饋。LED屏幕結(jié)構(gòu)為分層組合,海獅FPGA支持高速運算,可計算屏幕每個像素點上顯示色值,進而達到最佳圖像顯示效果。基于海獅系列產(chǎn)品優(yōu)秀表現(xiàn),中國LED龍頭企業(yè)與智多晶簽訂超千萬元訂單合同。

b5c72754-4b7e-11eb-8b86-12bb97331649.jpg

智多晶產(chǎn)品體系由Seagull 1000系列、Sealion 2000系列、Sealion 2000T系列、Seal 5000系列、HqFpga軟件、圖像加強系統(tǒng)等系列組成。

西安智多晶微電子產(chǎn)品介紹

b60fd36e-4b7e-11eb-8b86-12bb97331649.jpg

Seagull 1000系列

?設(shè)計方式:正向設(shè)計

?采用0.162um生產(chǎn)工藝

?裝配低功耗嵌入式閃存(eFlash)存儲單元

?功耗敏感極低,靜態(tài)電流可降至1.0mA

?提供64到256宏單元陣列產(chǎn)品

?差異化競爭點:滿足各類客制化CPLD構(gòu)架

Sealion 2000系列

?設(shè)計方式:正向設(shè)計

?芯片軟件設(shè)計流程可對接ISE、Quartus網(wǎng)表

?具備倍頻、分頻、相位轉(zhuǎn)移等系統(tǒng)時鐘功能

b61ee2d2-4b7e-11eb-8b86-12bb97331649.jpg

Seal5000系列

?通用輸入輸出端口支持高速存儲器界面

?應用場景:支持商業(yè)與工業(yè)溫度等級

?具備倍頻、分頻、相位轉(zhuǎn)移等系統(tǒng)時鐘功能

?支持高速串行并行接口(SERDES)

案例簡析:

b681aea8-4b7e-11eb-8b86-12bb97331649.jpg

?支持采集端到處理端工業(yè)控制編程需求。

?圖像處理應用可能性擴大,圖像傳輸實時性得到提高。

?支持復雜算法,保證算力需求。

?在大型生產(chǎn)線場景提高機器人使用效率

?低成本、高密度、高精度、高處理速度。

高云半導體

高云半導體主攻中低密度FPGA市場,在55納米SRAM制造工藝方面具備成熟產(chǎn)能,開始穩(wěn)步推進28納米中低密度芯片產(chǎn)品研發(fā)項目。

高云半導體企業(yè)簡介

廣東高云半導體科技股份有限公司(以下簡稱“高云半導體”)于2014年1月在廣東省佛山市注冊成立,是一家提供設(shè)計軟件、IP核、參照設(shè)計、開發(fā)板、定制服務一體化完整解決方案的高科技企業(yè)。

高云半導體主攻中低密度FPGA市場。截至2019年上半年,高云半導已體開放自研EDA集成電路開發(fā)軟件下載服務,版本更新至1.7.9版本。

b6b7b462-4b7e-11eb-8b86-12bb97331649.jpg

踐行高云方法論

高云半導體在FPGA芯片產(chǎn)品定義、架構(gòu)設(shè)計、市場開發(fā)等方面積累超過10年經(jīng)驗,前期堅持以中低端FPGA領(lǐng)域為目標市場,穩(wěn)步邁向高端市場。高云半導體已在55納米SRAM制造工藝方面具備成熟產(chǎn)能,同款55納米FPGA在同等密度器件中具備較多輸入輸出端口,并開始穩(wěn)步推進28納米中低密度芯片產(chǎn)品研發(fā)項目。55納米→28納米

覆蓋多元領(lǐng)域下游客戶

截至2019年上半年,高云半導體FPGA產(chǎn)品滲透工業(yè)、車載、通信、家電、消費、IoT等領(lǐng)域,并推出自主研發(fā)的軟件平臺“云源軟件”。支持線上分析(邏輯分析、靜態(tài)時序分析、功耗分析)等在線功能,大幅降低用戶產(chǎn)品研發(fā)時間成本、優(yōu)化創(chuàng)新設(shè)計流程。

b7132658-4b7e-11eb-8b86-12bb97331649.jpg

晨熙系列及小蜜蜂系列FPGA芯片為通信、工業(yè)、消費電子市場提供低功耗、高安全性、非易失性計算資源。

產(chǎn)品及解決方案介紹

解決方案契合以太網(wǎng)、5G網(wǎng)絡(luò),延長客戶產(chǎn)品生命周期。其中,“GoAI”加速平臺相對傳統(tǒng)微控制器性能提高78倍以上。

b73370de-4b7e-11eb-8b86-12bb97331649.jpg

案例簡析:

超低功耗μSoC射頻FPGA

?集成藍牙5.0低功耗無線電功能,最低功耗可降至5nA(全芯片關(guān)閉功能實現(xiàn))。

?集成32位低功耗ARC處理器和低功耗藍牙。

?可為傳感器、攝像機等提供靈活輸入輸出方案。

?接入電源管理單元,支持各級功耗模式。引領(lǐng)FPGA在邊緣計算領(lǐng)域的應用創(chuàng)新。

基于FPGA的AI解決方案

?解決方案GoAITM針對邊緣測試、AI解決方案部署。設(shè)計流程與AI、神經(jīng)網(wǎng)絡(luò)開發(fā)框架貼合,便于用戶再開發(fā)。

?內(nèi)嵌微控制器支持模型訓練、量化及測試。

?將嵌入式處理器與FPGA加速器融合,繞過神經(jīng)網(wǎng)絡(luò)模型對專用軟件的需求。

FPGA

行業(yè)趨勢

未來3至5年內(nèi),中國FPGA投資機會存在于應用平臺(性質(zhì)接近PaaS)、“FPGA+ASIC”架構(gòu)集成等方面,架構(gòu)集成領(lǐng)域或出現(xiàn)獨角獸企業(yè)。

應用方案層面存在高價值投資機會(平臺型產(chǎn)品)——他山之石可攻玉

?相對芯片研發(fā)業(yè)務,F(xiàn)PGA應用方案類產(chǎn)品開發(fā)周期短,應用場景需求持續(xù)拓展(視頻加速、圖像加速、云渲染、4K高清實時直播、云視頻等)

?FPGA應用類產(chǎn)品性質(zhì)接近PaaS平臺,創(chuàng)業(yè)公司基于大廠既有AI數(shù)據(jù)中心包裝用戶端FPGA平臺。賽靈思、英特爾看好中國FPGA應用市場。

?FPGA應用平臺投資案例(頭部廠商投資戰(zhàn)略可參考):

①2018年底,英特爾投資聯(lián)捷科技,投資額約2,000萬美元。聯(lián)捷科技自身不投入FPGA芯片開發(fā),與阿里巴巴達成戰(zhàn)略合作伙伴關(guān)系,基于阿里FPGA云端資源,提供針對電商企業(yè)等類型客戶的應用解決方案。

產(chǎn)品模式:聯(lián)捷科技基于阿里FPGA平臺搭建FPGA圖像加速處理應用平臺Cticel,出售AI視頻加速服務,客戶購買加速通道,支付通道會員費。

投資回報預期:投資主體有望于2025年實現(xiàn)300%至500%投資回報率,以股權(quán)轉(zhuǎn)讓等形式完成回收。

②2019年8月,全球頭部企業(yè)賽靈思投資傲睿智存,提供基于FPGA的服務器的超高速存儲服務。

產(chǎn)品模式:傲睿智存針對中國市場應用需求,基于賽靈思芯片級產(chǎn)品包裝商用級產(chǎn)品,可提供基于視頻、金融大數(shù)據(jù)、人工智能加速等應用的超高速存儲服務。

投資回報預期:投資主體有望于3年內(nèi)實現(xiàn)超300%的投資回報率。

芯片集成板塊存在獨角獸投資機會(芯片型產(chǎn)品)——升級版架構(gòu)

?當前邊緣端計算加速解決方案多采取ASIC芯片,邊緣計算市場發(fā)展迅猛。

?相對而言,F(xiàn)PGA在傳統(tǒng)數(shù)據(jù)中心端市占率較高,在邊緣端功耗等表現(xiàn)不如ASIC。

?創(chuàng)新案例:美國某創(chuàng)業(yè)公司(IP核供應商)于2019年上半年推出集成ASIC架構(gòu)與FPGA架構(gòu)的全新解決方案“Speed Sger 7T6”,新架構(gòu)產(chǎn)品具備ASIC、FPGA雙重架構(gòu),在擁有低功耗、低延遲(毫秒級響應)優(yōu)勢的同時具備FPGA特性和功能。

該架構(gòu)顯著超越傳統(tǒng)產(chǎn)品,為邊緣端進行計算加速任務提供新思路。

?中國市場邊緣端、移動端ASIC、FPGA競爭關(guān)系將走向兼容,投資團隊可密切關(guān)注中國市場架構(gòu)集成投資機會,該領(lǐng)域創(chuàng)新企業(yè)或成為芯片級獨角獸。

b7aa314c-4b7e-11eb-8b86-12bb97331649.jpg

中國FPGA芯片行業(yè)投資邏輯及風險概述

專家建議未來5年內(nèi),投資團隊關(guān)注中國FPGA市場可依據(jù)先C端后B端,先應用場景后技術(shù)開發(fā),先產(chǎn)品級后芯片級的投資邏輯注入資金。

投資邏輯

?由C端至B端:中國范圍C端市場(應用側(cè))易出爆款,短期內(nèi)高清云游戲、高清視頻可催生大量應用場景。隨用戶端應用場景數(shù)量增加,設(shè)備對底層計算資源依賴度提升,市場規(guī)模擴容較快,專家建議投資團隊尋找有應用落地價值的細分場景進行投資(金融大數(shù)據(jù)分析、圖像視頻處理、基因測序、精準醫(yī)療、語音識別、圖像識別等)。C端應用成熟后,投資團隊可集中考慮B端需求,被投對象可集成解決方案、FPGA第三方,自主開發(fā)PaaS平臺,針對銀行客戶、政府客戶等提供產(chǎn)品化服務。

?由場景至技術(shù):FPGA芯片底層技術(shù)研發(fā)難度高,投入大,專家建議投資團隊應從場景投資逐步過渡至技術(shù)投資,技術(shù)投資思路可參考???、大華、深鑒科技等企業(yè)研發(fā)模式。該類企業(yè)利用FPGA半定制化基礎(chǔ)做邊緣側(cè)芯片(傾向人臉識別、安防軌跡跟蹤、新零售場景攝像頭等),該類技術(shù)基于既有硬件做再開發(fā),投資風險相對底層技術(shù)研發(fā)較小。

投資風險

芯片研發(fā)方面,初創(chuàng)企業(yè)如無大廠支持,易面臨資金、技術(shù)困境。

風險規(guī)避:

避免購置大量FPGA硬件:投資對象可采用阿里云、騰訊云等數(shù)據(jù)中心提供的FPGA云服務。

爭取政府資金支持:如在政府資金支持下,新疆某云渲染數(shù)據(jù)中心大量部署GPU、FPGA基礎(chǔ)硬件。

深挖應用場景輸出功能特性服務:無法于短期內(nèi)迅速提升競爭力的企業(yè)可推出針對細分場景的專用FPGA芯片,僅輸出算法,經(jīng)由代工廠推出芯片,在得到應用場景市場驗證的背景下提供具備針對性功能特性的板卡解決方案。

b7ee10f6-4b7e-11eb-8b86-12bb97331649.jpg

原文標題:FPGA芯片行業(yè)科普

文章出處:【微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598879
  • 芯片
    +關(guān)注

    關(guān)注

    450

    文章

    49631

    瀏覽量

    417114
  • 集成電路
    +關(guān)注

    關(guān)注

    5365

    文章

    11159

    瀏覽量

    358351

原文標題:FPGA芯片行業(yè)科普

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    中國AI芯片行業(yè),自主突破與未來展望

    在全球科技競賽的舞臺上,中國AI芯片行業(yè)正面臨前所未有的挑戰(zhàn)與機遇。近日,Gartner研究副總裁盛陵海在一場分享會上深入剖析了中國AI芯片
    的頭像 發(fā)表于 06-19 17:02 ?480次閱讀

    FPGA芯片你了解多少?

    FPGA的市場規(guī)模在45.63億美元左右,中國 FPGA 芯片市場規(guī)模持續(xù)上升,從2016年的65.5億元增長至2020年的 150.3 億元,年復合增長率高達23.1%。 隨著目前5
    發(fā)表于 04-17 11:13

    2024年全球與中國7nm智能座艙芯片行業(yè)總體規(guī)模、主要企業(yè)國內(nèi)外市場占有率及排名

    ) **8 **行業(yè)發(fā)展環(huán)境分析 8.1 7nm智能座艙芯片行業(yè)發(fā)展趨勢 8.2 7nm智能座艙芯片行業(yè)主要驅(qū)動因素 8.3 7nm智能座艙
    發(fā)表于 03-16 14:52

    國產(chǎn)高端fpga芯片有哪些

    國產(chǎn)高端FPGA芯片有多種,以下是一些知名的國產(chǎn)FPGA芯片,
    的頭像 發(fā)表于 03-15 14:01 ?2036次閱讀

    fpga芯片有哪些

    FPGA芯片的種類非常豐富,以下是一些主要的FPGA芯片及其特點。
    的頭像 發(fā)表于 03-14 17:35 ?865次閱讀

    fpga芯片和soc芯片的區(qū)別

    FPGA芯片和SoC芯片在多個方面存在顯著的區(qū)別。
    的頭像 發(fā)表于 03-14 17:28 ?2252次閱讀

    fpga芯片和普通芯片的區(qū)別

    FPGA芯片和普通芯片在多個方面存在顯著的區(qū)別。
    的頭像 發(fā)表于 03-14 17:27 ?1247次閱讀

    fpga芯片是什么芯片 fpga芯片的作用、功能及特點是什么

    fpga芯片是什么芯片 FPGA芯片(Field Programmable Gate Array)即現(xiàn)場可編程邏輯門陣列,是一種特殊的邏輯
    的頭像 發(fā)表于 03-14 17:25 ?3032次閱讀

    fpga芯片工作原理 fpga芯片有哪些型號

    FPGA芯片的工作原理主要基于其內(nèi)部的可配置邏輯單元和連線資源。包括以下工作原理: 首先,FPGA內(nèi)部包含可配置邏輯模塊(CLB)、輸出輸入模塊(IOB)和內(nèi)部連線(Interconnect)三個
    的頭像 發(fā)表于 03-14 17:17 ?1114次閱讀

    fpga是什么行業(yè)

    FPGA(Field Programmable Gate Array)屬于電子信息技術(shù)行業(yè),是一種高度可編程的芯片,主要用于在數(shù)字電路中執(zhí)行多種任務。其應用領(lǐng)域廣泛,包括通信、自動化、醫(yī)療、航空航天等。
    的頭像 發(fā)表于 03-14 16:44 ?639次閱讀

    fpga應用于哪些行業(yè)

    FPGA(Field Programmable Gate Array)是現(xiàn)場可編程門陣列的縮寫,它是一種高度可編程的芯片,主要用于在數(shù)字電路中執(zhí)行多種任務。FPGA在多個行業(yè)中都有廣泛
    的頭像 發(fā)表于 03-14 16:43 ?649次閱讀

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片擁有多個系列和型號,以滿足不同應用領(lǐng)域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特點。
    的頭像 發(fā)表于 03-14 16:24 ?2431次閱讀

    fpga芯片有哪些品牌

    FPGA芯片市場上有多個知名品牌,它們在各自的領(lǐng)域里都有出色的表現(xiàn)。以下是一些主要的FPGA芯片品牌。
    的頭像 發(fā)表于 03-14 16:19 ?1912次閱讀

    fpga芯片系列介紹

    FPGA芯片系列眾多,不同廠商會推出各具特色的產(chǎn)品系列以滿足不同的應用需求。以下是一些主要的FPGA芯片系列:
    的頭像 發(fā)表于 03-14 16:15 ?925次閱讀

    FPGA芯片下游行業(yè)的發(fā)展情況和趨勢

    通信行業(yè)一直是FPGA芯片的重要應用領(lǐng)域,芯片在通信設(shè)備中的應用主要包括數(shù)字信號處理、協(xié)議處理、射頻前端和光傳輸?shù)确矫?。隨著5G技術(shù)的發(fā)展,FPGA
    的頭像 發(fā)表于 01-17 16:50 ?748次閱讀