0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA中的時鐘相關(guān)概念

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2021-01-15 09:37 ? 次閱讀

一、時鐘相關(guān)概念

理想的時鐘模型是一個占空比為50%且周期固定的方波。Tclk為一個時鐘周期,T1為高脈沖寬度,T2為低脈沖寬度,Tclk=T1+T2。占空比定義為高脈沖寬度與周期之比,即T1/Tclk。

25885ee6-507a-11eb-8b86-12bb97331649.png

圖1 理想時鐘波形

建立時間(Tsu):是指在時鐘上升沿到來之前數(shù)據(jù)必須保持穩(wěn)定的時間;

保持時間(Th):是指在時鐘上升沿到來以后數(shù)據(jù)必須保持穩(wěn)定的時間。如圖2所示。

25a58c82-507a-11eb-8b86-12bb97331649.png

圖2 建立和保持時間

一個數(shù)據(jù)需要在時鐘的上升沿鎖存,那么這個數(shù)據(jù)就必須在這個時鐘上升沿的建立時間和保持時間內(nèi)保持穩(wěn)定。

上面列舉的是一個理想的時鐘波形,而實際時鐘信號的分析要比這復雜得多。時鐘本身也具有一些不確定性,如時鐘抖動(jitter)和時鐘偏斜(sknew)等。時鐘的邊沿變化不可能總是理想的瞬變,它會有一個從高到低或者從低到高的變化過程,實際的情況抽象出來就如圖3所示,時鐘信號邊沿變化的不確定時間稱之為時鐘偏斜(clock skew)。再回到之前定義的建立時間和保持時間,嚴格的說,建立時間就應(yīng)該是Tsu+T1,而保持時間就應(yīng)該是Th+T2。

25e67c42-507a-11eb-8b86-12bb97331649.png

圖3 時鐘抖動模型

時鐘分析的起點是源寄存器(reg1),終點是目的寄存器(reg2)。時鐘和其他信號的傳輸一樣都會有延時。圖4中,時鐘信號從時鐘源傳輸?shù)皆醇拇嫫鞯难訒r定義為Tc2s,傳輸?shù)侥康募拇嫫鞯难訒r定義為Tc2d,時鐘網(wǎng)絡(luò)延時就定義為Tc2d與Tc2s之差,即Tskew=Tc2d-Tc2s。

261291b0-507a-11eb-8b86-12bb97331649.png

圖4 時鐘偏斜的寄存器傳輸模型

圖5是時鐘偏斜模型的波形表示。

263ddd3e-507a-11eb-8b86-12bb97331649.png

圖5 時鐘偏斜的波形圖

clk是源時鐘,可以認為是一個理想的時鐘模型。clk_1是時鐘傳輸?shù)皆醇拇嫫鱮eg1的波形(延時Tc2s),clk_2是時鐘傳輸?shù)侥康募拇嫫鱮eg2的波形(延時Tc2d)。data_1是數(shù)據(jù)在源寄存器reg1的傳輸波形,data_2是數(shù)據(jù)在目的寄存器reg2的傳輸波形。

266fcd1c-507a-11eb-8b86-12bb97331649.png

圖6 數(shù)據(jù)與時鐘關(guān)系

原文標題:時鐘相關(guān)概念

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1620

    文章

    21510

    瀏覽量

    598923
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    6715

    瀏覽量

    88311
  • 時鐘
    +關(guān)注

    關(guān)注

    10

    文章

    1673

    瀏覽量

    130959

原文標題:時鐘相關(guān)概念

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA如何消除時鐘抖動

    FPGA(現(xiàn)場可編程門陣列)設(shè)計,消除時鐘抖動是一個關(guān)鍵任務(wù),因為時鐘抖動會直接影響系統(tǒng)的時序性能、穩(wěn)定性和可靠性。以下將詳細闡述FPGA
    的頭像 發(fā)表于 08-19 17:58 ?424次閱讀

    淺談如何克服FPGA I/O引腳分配挑戰(zhàn)

    在運行PlanAhead軟件實施工具前設(shè)計是無錯的。工具的眾多I/O和時鐘相關(guān)規(guī)則可保證I/O布局是合法的。 可利用PlanAhead的DRC對話框來選擇相應(yīng)的規(guī)則。如果工具發(fā)現(xiàn)違反規(guī)則的情況,將會
    發(fā)表于 07-22 00:40

    FPGA時鐘電路結(jié)構(gòu)原理

    FPGA 包含一些全局時鐘資源。以AMD公司近年的主流FPGA為例,這些時鐘資源由CMT(時鐘
    發(fā)表于 04-25 12:58 ?1393次閱讀
    <b class='flag-5'>FPGA</b>的<b class='flag-5'>時鐘</b>電路結(jié)構(gòu)原理

    FPGA輸入的時鐘信號必須是方波么?正弦波會有影響么?

    FPGA設(shè)計,時鐘信號通常需要滿足一定的要求。 首先,時鐘信號在FPGA必須是一個周期性的信
    的頭像 發(fā)表于 01-31 11:31 ?2791次閱讀

    FPGA時鐘內(nèi)部設(shè)計方案

    時鐘設(shè)計方案 在復雜的FPGA設(shè)計,設(shè)計時鐘方案是一項具有挑戰(zhàn)性的任務(wù)。設(shè)計者需要很好地掌握目標器件所能提供的時鐘資源及它們的限制,需
    發(fā)表于 01-22 09:30 ?450次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>時鐘</b>內(nèi)部設(shè)計方案

    FPGA時鐘的用法

    生成時鐘包括自動生成時鐘(又稱為自動衍生時鐘)和用戶生成時鐘。自動生成時鐘通常由PLL或MMCM生成,也可以由具有分頻功能的
    的頭像 發(fā)表于 01-11 09:50 ?1405次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>中</b><b class='flag-5'>時鐘</b>的用法

    請問AD7626與FPGA如何對接?

    D+/-后如何進行字節(jié)對齊? 在自時候總模式下,AD7626要求接收端能夠動態(tài)的選擇采樣時鐘相位,這在FPGA也是無法做到的。 請問有沒有比較好的通過FPGA與AD7626對接的方案?
    發(fā)表于 12-22 06:34

    請問2個AD9576之間怎么實現(xiàn)同步來保證16個AD輸出時鐘相位都同步?

    的0-8輸出時鐘之間可以實現(xiàn)相位同步,那2個AD9576之間怎么實現(xiàn)同步來保證16個AD輸出時鐘相位都同步?
    發(fā)表于 12-05 08:16

    AD7606 SPI通信的時鐘極性和時鐘相位要求是什么?

    AD7606的關(guān)于SPI通信的時鐘極性和時鐘相位要求是什么? 我的主控芯片采用SPI有AD7606通信,我在數(shù)據(jù)手冊好像沒有看到專門關(guān)于SPI通信時鐘極性和
    發(fā)表于 12-01 06:56

    LTC6915的采樣時鐘是多少?與FPGA系統(tǒng)時鐘相同嗎?

    LTC6915的采樣時鐘是多少,與FPGA 系統(tǒng)時鐘相同嗎
    發(fā)表于 11-14 07:55

    如何正確應(yīng)用FPGA的四種時鐘資源?

    把握DCM、PLL、PMCD和MMCM知識是穩(wěn)健可靠的時鐘設(shè)計策略的基礎(chǔ)。賽靈思在其FPGA中提供了豐富的時鐘資源,大多數(shù)設(shè)計人員在他們的FPGA設(shè)計
    發(fā)表于 10-30 11:47 ?1238次閱讀
    如何正確應(yīng)用<b class='flag-5'>FPGA</b>的四種<b class='flag-5'>時鐘</b>資源?

    FPGA為什么有時候還需要一個時鐘配置芯片提供時鐘呢?

    FPGA為什么有時候還需要一個時鐘配置芯片提供時鐘呢? FPGA(Field Programmable Gate Array)是一種可編程邏輯器件,可以根據(jù)不同需要編程,實現(xiàn)不同的功能
    的頭像 發(fā)表于 10-25 15:14 ?1489次閱讀

    fpga與dsp通訊怎樣同步時鐘頻率?dsp和fpga通信如何測試?

    fpga與dsp通訊怎樣同步時鐘頻率?dsp和fpga通信如何測試? 在FPGA與DSP通訊時,同步時鐘頻率非常重要,因為不同的設(shè)備有不同的
    的頭像 發(fā)表于 10-18 15:28 ?1629次閱讀

    fpga時鐘域通信時,慢時鐘如何讀取快時鐘發(fā)送過來的數(shù)據(jù)?

    fpga時鐘域通信時,慢時鐘如何讀取快時鐘發(fā)送過來的數(shù)據(jù)? 在FPGA設(shè)計,通常需要跨
    的頭像 發(fā)表于 10-18 15:23 ?876次閱讀

    FPGA只有從專用時鐘管腳進去的信號才能接片內(nèi)鎖相環(huán)嗎?

    Altera的FPGA,只有從專用時鐘管腳(Dedicated clock)進去的信號,才能接片內(nèi)鎖相環(huán)(PLL)嗎?? 在Altera的FPGA
    的頭像 發(fā)表于 10-13 17:40 ?489次閱讀