0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2020年半導(dǎo)體行業(yè)發(fā)展的8大關(guān)鍵詞

新思科技 ? 來源:新思科技 ? 作者:新思科技 ? 2021-01-21 13:40 ? 次閱讀

回首半導(dǎo)體行業(yè)的發(fā)展歷程,從70多年前一顆小小的晶體管開始,到如今已經(jīng)以各種形式滲透與每個(gè)人的生活密不可分,其發(fā)展速度之快讓摩爾定律面臨失效,無論是以硅為基礎(chǔ)的半導(dǎo)體材料,還是光刻機(jī)之類的半導(dǎo)體設(shè)備,還是存儲(chǔ)芯片的容量大小,幾乎都面臨急需攻克的難題與瓶頸。 回望2020年,一些瓶頸正悄悄找到出口,8個(gè)關(guān)鍵詞得以詮釋這一年來半導(dǎo)體行業(yè)的發(fā)展。

云上EDA

如果說2019年是云上EDA概念普及之年,那么2020年則是云上EDA探索落地之年,無論是EDA軟件商、IC設(shè)計(jì)企業(yè)以及代工廠,都在實(shí)踐云上EDA。 云上EDA是指在通過云的方式設(shè)計(jì)芯片,相比通過傳統(tǒng)的EDA工具設(shè)計(jì)芯片,EDA云平臺(tái)優(yōu)點(diǎn)眾多,能夠適配EDA工具使用需求、擁有大規(guī)模算力自動(dòng)化智能調(diào)度以及海量的云資源提供彈性算力支持,直接提升芯片的研發(fā)周期和良率,降低芯片設(shè)計(jì)成本。 全球三大EDA提供商之一新思科技目前已經(jīng)同臺(tái)積電共同部署云上設(shè)計(jì)和芯片制造平臺(tái),幫助臺(tái)積電成為首家實(shí)現(xiàn)云設(shè)計(jì)代工廠;亞馬遜AWS收購以色列芯片制造商Annapurna Labs之后,其 Graviton和Inferentia等芯片,從RTL到GDSII全都實(shí)現(xiàn)云上開發(fā)。國內(nèi)也有包括阿里云在內(nèi)的云公司提供EDA機(jī)型配置,平頭哥借助阿里云的全項(xiàng)目上云并結(jié)合服務(wù)器托管方案,設(shè)計(jì)上云實(shí)現(xiàn)10%到50%的性能提升。

對(duì)于云上EDA的未來,新思科技中國副總經(jīng)理、芯片自動(dòng)化事業(yè)部總經(jīng)理謝仲輝看好其發(fā)展,認(rèn)為芯片設(shè)計(jì)上云將引領(lǐng)芯片行業(yè)進(jìn)入新的良性循環(huán),對(duì)于決心投入芯片的互聯(lián)網(wǎng)及系統(tǒng)公司而言是機(jī)遇,也會(huì)讓傳統(tǒng)芯片公司不再局限于芯片的性能和功耗,而是與用戶應(yīng)用場(chǎng)景緊密結(jié)合并提供更好的服務(wù)體驗(yàn)。

3D封裝

3D封裝是一種立體封裝技術(shù),在X-Y的二維封裝基礎(chǔ)之上向z軸延伸,也是為了突破摩爾定律瓶頸而誕生的一種新技術(shù),在集成度、性能、功耗等方面有一定優(yōu)勢(shì),設(shè)計(jì)自由度高,開發(fā)時(shí)間更短,也是各個(gè)芯片廠商爭相角逐的先進(jìn)封裝技術(shù),在2020年競(jìng)爭進(jìn)一步升級(jí)。 臺(tái)積電自2018年首度對(duì)外公布其系統(tǒng)整合單芯片多芯片3D堆疊技術(shù),陸續(xù)推出2.5D高端封裝技術(shù)CoWoS和扇出型晶圓技術(shù)InFo,搶占蘋果訂單。今年又針對(duì)先進(jìn)封裝打造晶圓級(jí)系統(tǒng)整合技術(shù)平臺(tái)(WLSI),升級(jí)導(dǎo)線互連間距密度和系統(tǒng)尺寸,推出晶圓級(jí)封裝技術(shù)系統(tǒng)整合芯片(TSMC-SoIC),能夠?qū)⑾冗M(jìn)的SoC與多階層、多功能芯片整合,實(shí)現(xiàn)高速、低功耗、體積小的3D IC產(chǎn)品。

cb69b39c-58e9-11eb-8b86-12bb97331649.png

英特爾也于2年前首次展示其名為“Foveros”的3D封裝技術(shù),在今年架構(gòu)日上公布新進(jìn)展,即“混合鍵合”技術(shù)(Hybrid bonding),以替代傳統(tǒng)的“熱壓鍵合”技術(shù),加速實(shí)現(xiàn)10微米及以下的凸點(diǎn)間距,提供更好的互連密度、帶寬和更低的功率。 三星在今年對(duì)外宣布了全新的芯片封裝技術(shù)X-Cube3D已經(jīng)可以投入使用,允許多枚芯片堆疊封裝,三星稱其能讓芯片擁有更強(qiáng)大的性能和更高的能效比。

國產(chǎn)替代

在中美關(guān)系緊張的環(huán)境下,今年中國半導(dǎo)體市場(chǎng)異?;馃?。據(jù)天眼查數(shù)據(jù)顯示,截止2020年12月,我國今年新增超過6萬家芯片相關(guān)企業(yè),較去年同比增長22.39%。目前全國約有24.4萬家芯片相關(guān)企業(yè),超2萬家芯片相關(guān)企業(yè)擁有專利。 這是環(huán)境和政策雙重作用下的結(jié)果,尤為明顯的是,在科創(chuàng)板一周年之時(shí),市值排名前十的公司中,就有包括中芯國際、滬硅產(chǎn)業(yè)、中微公司、瀾起科技和寒武紀(jì)在內(nèi)的5家公司屬于半導(dǎo)體領(lǐng)域。

在解決人才短缺問題上,也有一些新動(dòng)作:將集成電路學(xué)科設(shè)置為一級(jí)學(xué)科,讓本科畢業(yè)生帶“芯”畢業(yè)的“一生一芯”計(jì)劃項(xiàng)目,成立南京集成電路大學(xué),無一不是為國產(chǎn)替代做準(zhǔn)備。

也有行業(yè)專家參與到“國產(chǎn)替代”的討論,清華大學(xué)微電子研究所所長魏少軍認(rèn)為,芯片全面國產(chǎn)替代指日可待是口號(hào)型的發(fā)展,會(huì)讓政府遭遇很大的壓力。華潤微電子代工事業(yè)群總經(jīng)理蘇巍指出,“當(dāng)下國產(chǎn)芯片自給自足率不足三成,中國整個(gè)半導(dǎo)體產(chǎn)業(yè)鏈發(fā)展明顯有短板和不足,但是在功率半導(dǎo)體領(lǐng)域,我們看到它率先進(jìn)行突圍,與國際一流技術(shù)水平差距在縮小?!?/p>

黃氏定律

在12月份的英偉達(dá)2020 GTC China大會(huì)上,英偉達(dá)首席科學(xué)家兼研究院副總裁Bill Dally在演講中稱,如果我們真想提高計(jì)算機(jī)性能,黃氏定律就是一項(xiàng)重要指標(biāo),且在可預(yù)見的未來都將一直適用。這是“黃氏定律”這一命名首次被英偉達(dá)官方認(rèn)可。 黃氏定律具體是指英偉達(dá)創(chuàng)始人黃仁勛對(duì)AI性能的提升做出的預(yù)測(cè), GPU將推動(dòng)AI性能實(shí)現(xiàn)逐年翻倍。大會(huì)上,Bill Dally用三個(gè)項(xiàng)目說明黃氏定律實(shí)現(xiàn)的關(guān)鍵,包括實(shí)現(xiàn)超高能效加速器的MAGNet工具、以更快速的光鏈路取代現(xiàn)有系統(tǒng)內(nèi)的電氣鏈路、全新編程系統(tǒng)原型Legate。

cb9e7302-58e9-11eb-8b86-12bb97331649.jpg

幾十年前,英特爾創(chuàng)始人之一戈登·摩爾提出了著名的摩爾定律,從經(jīng)濟(jì)學(xué)的角度成功預(yù)測(cè)幾十年來集成電路的發(fā)展趨勢(shì),即每18個(gè)月晶體管數(shù)目和性能提升一倍。當(dāng)下,英偉達(dá)作為當(dāng)下炙手可熱的AI芯片公司,其黃氏定律有望引領(lǐng)未來幾十年芯片行業(yè)的發(fā)展。

寬禁帶半導(dǎo)體

寬禁帶半導(dǎo)體即第三代半導(dǎo)體材料,包括碳化硅(SiC)、氮化鎵(GaN)、氧化鋅(ZnO)、金剛石(C)、氮化鋁(AlN)等新興材料,最初其研究與開發(fā)主要用于滿足軍事和國防需求。寬禁帶半導(dǎo)體的帶隙大于硅半導(dǎo)體的2.2e,能夠有效減小電子跨越的鴻溝,減少能源損耗,因此多應(yīng)有于節(jié)能領(lǐng)域,主要是功率器件。今年年初小米推出氮化鎵快充就是寬緊帶半導(dǎo)體的典型用例。 要讓寬禁帶半導(dǎo)體取代硅基,需要克服成本瓶頸,碳化硅和氮化鎵襯底成本過高,使得器件成本高于傳統(tǒng)硅基的5到10倍,是阻礙寬禁帶半導(dǎo)體普及的主要原因。不過,在技術(shù)和工藝的提升下,成本已接近硅基器件。 今年,在各省份的“十四五”規(guī)劃建議稿中,紛紛提及加快布局第三代半導(dǎo)體等產(chǎn)業(yè)。寬禁帶半導(dǎo)體成為2020年乃至往后幾年里中國半導(dǎo)體產(chǎn)業(yè)的重要發(fā)展方向之一。

8英寸晶圓

晶圓缺貨是半導(dǎo)體行業(yè)常有的現(xiàn)象,但今年受疫情影響以及5G應(yīng)用需求增長,各個(gè)代工的8英寸晶圓廠產(chǎn)能爆滿,缺貨現(xiàn)象尤為嚴(yán)重。臺(tái)積電董事長黃崇仁曾在11月概括晶圓產(chǎn)能緊張現(xiàn)狀,稱目前晶圓產(chǎn)能已緊張到不可思議,客戶對(duì)產(chǎn)能的需求已達(dá)到恐慌程度,預(yù)計(jì)明年下半年到2022年下半年,邏輯、DRAM市場(chǎng)都會(huì)缺貨到無法想象的地步。 模擬芯片和功率器件需求持續(xù)上漲,與本就現(xiàn)存不多的8英寸晶圓廠產(chǎn)線相擠壓,產(chǎn)能持續(xù)緊張,另一方面導(dǎo)致包括MOSFET、驅(qū)動(dòng)IC、電源管理IC等其他需要在8英寸晶圓生產(chǎn)的芯片或器件的生產(chǎn)周期延長,市場(chǎng)價(jià)格紛紛上漲。 根據(jù)TrendForce最新調(diào)查研究,預(yù)計(jì)2020年全球晶圓代工收入將同比增長23.8%,為十年來最高,先進(jìn)節(jié)點(diǎn)和8英寸產(chǎn)能成為晶圓代工行業(yè)競(jìng)爭力的關(guān)鍵。

5nm

5G落地之年,作為引擎的5G芯片固然不可缺席,在移動(dòng)手機(jī)市場(chǎng)上,也迎來在5nm戰(zhàn)場(chǎng)上的新一波5G芯片之爭。自2019年底各大芯片廠首發(fā)自家的5G芯片之后,2020年芯片廠商們更執(zhí)著于推出7nm以下先進(jìn)制程的5G芯片,且由外掛5G基帶升級(jí)到集成式SoC。 蘋果首發(fā)了采用臺(tái)積電5nm工藝制程的A14 Bionic,集成118億晶體管,但依然是用外掛高通驍龍5G基帶。此后華為發(fā)布麒麟9000,成為世界上首個(gè)采用5nm制程的5G手機(jī)SoC,集成153億個(gè)晶體管。 之后,三星發(fā)布Exyons 1080,采用自家的5nm工藝制程和自家的5G基帶,以集成式SoC的設(shè)計(jì)進(jìn)入旗艦行列,將在ViVO的新機(jī)上首發(fā)。 高通驍龍888是2020年最后一款5nm集成式5G SoC,代號(hào)從875變888表達(dá)對(duì)中國5G市場(chǎng)重要性的認(rèn)可。驍龍888同樣采用三星5nm工藝制程,集成全球首款5nm 5G基帶驍龍X60,能夠提供高達(dá)7.5Gpbs的5G商用網(wǎng)絡(luò)速度。

存算一體

在AI算法對(duì)算力需求增長的時(shí)代,馮諾依曼架構(gòu)帶來的“內(nèi)存墻”問題愈發(fā)明顯,即其存儲(chǔ)與計(jì)算在物理上的分離,使得計(jì)算過程中需要不斷地通過總線交換數(shù)據(jù),從內(nèi)存讀取數(shù)據(jù)到CPU,計(jì)算后再寫回存儲(chǔ)。由于存儲(chǔ)速度遠(yuǎn)低于計(jì)算速度,大部分時(shí)間和功耗都消耗在總線傳輸上,最終導(dǎo)致傳統(tǒng)芯片算力難以跟上需求。 為解決“內(nèi)存墻”問題,基于憶阻器的存算一體技術(shù)被提出,從器件研究到計(jì)算范式研究,直到今年取得新的進(jìn)展。 今年2月,清華大學(xué)微電子所、未來芯片技術(shù)精尖創(chuàng)新中心錢鶴、吳華強(qiáng)教授團(tuán)隊(duì)與合作者成功研發(fā)出一款基于多陣列憶阻器存算一體系統(tǒng),以憶阻器替代經(jīng)典晶體管,打破馮諾依曼瓶頸,以更小的功耗和更低的硬件成本大幅提升計(jì)算設(shè)備的算力,成為第一款基于憶阻器的CNN存算一體芯片。

cbd07690-58e9-11eb-8b86-12bb97331649.png

在2020第五屆全球人工智能機(jī)器人峰會(huì)(CCF-GAIR 2020)上,清華大學(xué)副教授高濱演講時(shí)表示,存算一體芯片的下一步將是存算一體計(jì)算系統(tǒng)的搭建,在不改變現(xiàn)有變成語言的情況下,計(jì)算能效會(huì)有百倍到千倍的提升。

原文標(biāo)題:2020,半導(dǎo)體行業(yè)的8大關(guān)鍵詞

文章出處:【微信公眾號(hào):新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26311

    瀏覽量

    209953
  • 封裝
    +關(guān)注

    關(guān)注

    125

    文章

    7592

    瀏覽量

    142142
  • eda
    eda
    +關(guān)注

    關(guān)注

    71

    文章

    2654

    瀏覽量

    172156

原文標(biāo)題:2020,半導(dǎo)體行業(yè)的8大關(guān)鍵詞

文章出處:【微信號(hào):Synopsys_CN,微信公眾號(hào):新思科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    淺析2024年半導(dǎo)體行業(yè)的兩大關(guān)鍵詞

    RISC-V(Reduced Instruction Set Computing – V)無疑正是當(dāng)下芯片產(chǎn)業(yè)的熱門關(guān)鍵詞!使用最開放開源協(xié)議之一的BSD,只用十就達(dá)到出貨量100億顆(ARM 指令集芯片達(dá)到相同出貨量用了近 30
    的頭像 發(fā)表于 09-19 13:01 ?240次閱讀

    探索AC自動(dòng)機(jī):多關(guān)鍵詞搜索的原理與應(yīng)用案例

    引言 目前,大多數(shù)自由文本搜索技術(shù)采用類似于Lucene的策略,通過解析搜索文本為各個(gè)組成部分來定位關(guān)鍵詞。這種方法在處理少量關(guān)鍵詞時(shí)表現(xiàn)良好。但當(dāng)搜索的關(guān)鍵詞數(shù)量達(dá)到10萬個(gè)或更多時(shí),這種
    的頭像 發(fā)表于 08-26 15:55 ?700次閱讀
    探索AC自動(dòng)機(jī):多<b class='flag-5'>關(guān)鍵詞</b>搜索的原理與應(yīng)用案例

    中國信通院發(fā)布“2024云計(jì)算十大關(guān)鍵詞

    7月23日,由中國通信標(biāo)準(zhǔn)化協(xié)會(huì)主辦,中國信息通信研究院(簡稱“中國信通院”)承辦的“2024可信云大會(huì)”在京召開。大會(huì)上,中國信通院正式發(fā)布“2024云計(jì)算十大關(guān)鍵詞”,中國信通院云計(jì)算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?404次閱讀
    中國信通院發(fā)布“2024云計(jì)算十<b class='flag-5'>大關(guān)鍵詞</b>”

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    代工廠來開發(fā)和交付。臺(tái)積電是這一階段的關(guān)鍵先驅(qū)。 半導(dǎo)體的第四個(gè)時(shí)代——開放式創(chuàng)新平臺(tái) 仔細(xì)觀察,我們即將回到原點(diǎn)。隨著半導(dǎo)體行業(yè)的不斷成熟,工藝復(fù)雜性和設(shè)計(jì)復(fù)雜性開始呈爆炸式增長。
    發(fā)表于 03-27 16:17

    2024十大半導(dǎo)體發(fā)展趨勢(shì)

    。為了實(shí)現(xiàn)這一目標(biāo),該行業(yè)正在采用最新技術(shù)來提高效率并滿足環(huán)境要求。從最近這些發(fā)展情況來看,2024全球半導(dǎo)體業(yè)將呈現(xiàn)以下
    的頭像 發(fā)表于 03-22 08:26 ?579次閱讀
    2024<b class='flag-5'>年</b>十大<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>發(fā)展</b>趨勢(shì)

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    交給代工廠來開發(fā)和交付。臺(tái)積電是這一階段的關(guān)鍵先驅(qū)。 半導(dǎo)體的第四個(gè)時(shí)代——開放式創(chuàng)新平臺(tái) 仔細(xì)觀察,我們即將回到原點(diǎn)。隨著半導(dǎo)體行業(yè)的不斷成熟,工藝復(fù)雜性和設(shè)計(jì)復(fù)雜性開始呈爆炸式
    發(fā)表于 03-13 16:52

    2023年半導(dǎo)體行業(yè)收益超出預(yù)期,2024預(yù)期增長24%

    12日,知名分析機(jī)構(gòu)TechInsights公布最新數(shù)據(jù)顯示,2023第四季度半導(dǎo)體業(yè)務(wù)表現(xiàn)超出預(yù)期,收入較之前預(yù)估增加13%。同時(shí),2023年半導(dǎo)體銷售出現(xiàn)9%的下滑(上一次更
    的頭像 發(fā)表于 03-13 10:21 ?524次閱讀

    2023存儲(chǔ)芯片行業(yè)大關(guān)鍵詞

    (電子發(fā)燒友網(wǎng) 文/黃晶晶)過去的一,存儲(chǔ)市場(chǎng)跌宕起伏,從低潮逐漸回暖,新興應(yīng)用市場(chǎng)對(duì)存儲(chǔ)的拉動(dòng)絲毫不減,服務(wù)器、汽車存儲(chǔ)馬力十足,帶動(dòng)存儲(chǔ)新技術(shù)例如高速接口、HBM等快速發(fā)展。這一半導(dǎo)
    的頭像 發(fā)表于 01-06 01:08 ?1973次閱讀

    中科曙光算力服務(wù)年度盤點(diǎn) 四大關(guān)鍵詞

    總結(jié)為以下四個(gè)關(guān)鍵詞。 聚焦 —? 曙光算力服務(wù)緊跟市場(chǎng)趨勢(shì),積極參與信通院新一代算力網(wǎng)技術(shù)創(chuàng)新聯(lián)盟、首批可信算力云服務(wù)-智能平臺(tái)和“算力星圖”計(jì)劃。通過深度參與行業(yè)標(biāo)準(zhǔn)和技術(shù)創(chuàng)新,曙光智算成功通過首批“可信算力服務(wù)-智
    的頭像 發(fā)表于 01-04 10:34 ?459次閱讀

    未來已來:十大關(guān)鍵詞深度回顧2023智能家居行業(yè)

    插圖由AI生成,智哪兒版權(quán)所有當(dāng)我們站在2024的門檻上,回顧過去幾年智能家居領(lǐng)域的發(fā)展,一個(gè)令人興奮且充滿未來感的畫面展現(xiàn)在我們眼前。這個(gè)行業(yè)已經(jīng)走過了多個(gè)發(fā)展階段,從最初的概念引
    的頭像 發(fā)表于 01-02 17:12 ?904次閱讀
    未來已來:十<b class='flag-5'>大關(guān)鍵詞</b>深度回顧2023智能家居<b class='flag-5'>行業(yè)</b>

    Transphorm:氮化鎵應(yīng)用進(jìn)一步擴(kuò)展,2024年下半年半導(dǎo)體市場(chǎng)將回暖

    如何發(fā)展?為此,電子發(fā)燒友網(wǎng)策劃了《2024年半導(dǎo)體產(chǎn)業(yè)展望》專題,收到數(shù)十位國內(nèi)外半導(dǎo)體創(chuàng)新領(lǐng)袖企業(yè)高管的前瞻觀點(diǎn)。其中,電子發(fā)燒友特別采訪了Transphorm總裁兼CEO,Primit Parikh博士。以下是他對(duì)2024
    發(fā)表于 12-27 11:18 ?630次閱讀
    Transphorm:氮化鎵應(yīng)用進(jìn)一步擴(kuò)展,2024<b class='flag-5'>年下半年半導(dǎo)體</b>市場(chǎng)將回暖

    穩(wěn)先微:AI、新能源汽車為半導(dǎo)體行業(yè)帶來發(fā)展預(yù)期

    如何發(fā)展?為此,電子發(fā)燒友網(wǎng)策劃了《2024年半導(dǎo)體產(chǎn)業(yè)展望》專題,收到數(shù)十位國內(nèi)外半導(dǎo)體創(chuàng)新領(lǐng)袖企業(yè)高管的前瞻觀點(diǎn)。其中,電子發(fā)燒友特別采訪了穩(wěn)先微,以下是他們對(duì)2024年半導(dǎo)體市場(chǎng)
    發(fā)表于 12-26 11:27 ?533次閱讀
    穩(wěn)先微:AI、新能源汽車為<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>行業(yè)</b>帶來<b class='flag-5'>發(fā)展</b>預(yù)期

    #2023,你的 FPGA 年度關(guān)鍵詞是什么? #

    FPGA 年度關(guān)鍵詞,我的想法是“標(biāo)準(zhǔn)化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細(xì)節(jié)的東西但是卻反復(fù)出現(xiàn)問題,目前想到的最好的辦法是做好設(shè)計(jì)規(guī)則的標(biāo)準(zhǔn)化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31

    2021年半導(dǎo)體投資定了哪些格局?

    2021年半導(dǎo)體行業(yè)投融仍然活躍,電子發(fā)燒友共統(tǒng)計(jì)到350筆融資,其中也有些企業(yè)一之中數(shù)次獲得融資,融資情況覆蓋產(chǎn)業(yè)鏈的各個(gè)環(huán)節(jié),從IC設(shè)計(jì)、制造、封測(cè),到半導(dǎo)體材料、設(shè)備、IDM等
    發(fā)表于 10-18 15:00 ?3次下載
    2021<b class='flag-5'>年半導(dǎo)體</b>投資定了哪些格局?